proxy.golang.org "yosys" keyword
View the packages on the proxy.golang.org package registry that are tagged with the "yosys" keyword.
Top 5.8% on proxy.golang.org
1 version - Latest release: almost 5 years ago - 735 stars on GitHub
github.com/nturley/netlistsvg v1.0.2
draws an SVG schematic from a JSON netlist1 version - Latest release: almost 5 years ago - 735 stars on GitHub
Top 5.6% on proxy.golang.org
15 versions - Latest release: about 1 month ago - 24 stars on GitHub
github.com/freand76/digsim v0.13.0
An interactive digital logic simulator with verilog support (Yosys)15 versions - Latest release: about 1 month ago - 24 stars on GitHub
Top 5.6% on proxy.golang.org
2 versions - Latest release: over 3 years ago - 139 stars on GitHub
github.com/PyFPGA/pyfpga v0.2.0
A Python package to use FPGA development tools programmatically.2 versions - Latest release: over 3 years ago - 139 stars on GitHub
Top 5.6% on proxy.golang.org
2 versions - Latest release: over 3 years ago - 139 stars on GitHub
github.com/pyfpga/pyfpga v0.2.0
A Python package to use FPGA development tools programmatically.2 versions - Latest release: over 3 years ago - 139 stars on GitHub
Top 5.8% on proxy.golang.org
26 versions - Latest release: about 1 month ago - 708 stars on GitHub
github.com/olofk/edalize v0.6.2
An abstraction library for interfacing EDA tools26 versions - Latest release: about 1 month ago - 708 stars on GitHub
Top 5.6% on proxy.golang.org
1 version - Latest release: over 7 years ago - 102 stars on GitHub
github.com/chipsalliance/fpga-tool-perf v0.0.1
FPGA tool performance profiling1 version - Latest release: over 7 years ago - 102 stars on GitHub
Top 4.4% on proxy.golang.org
14 versions - Latest release: 8 months ago - 664 stars on GitHub
github.com/Zachjs/sv2v v0.0.13
SystemVerilog to Verilog conversion14 versions - Latest release: 8 months ago - 664 stars on GitHub
Top 4.4% on proxy.golang.org
14 versions - Latest release: 8 months ago - 664 stars on GitHub
github.com/ZachJS/sv2v v0.0.13
SystemVerilog to Verilog conversion14 versions - Latest release: 8 months ago - 664 stars on GitHub
Top 6.7% on proxy.golang.org
14 versions - Latest release: 8 months ago - 664 stars on GitHub
github.com/zachjs/sv2v v0.0.13
SystemVerilog to Verilog conversion14 versions - Latest release: 8 months ago - 664 stars on GitHub
Related Keywords
verilog
5
systemverilog
4
fpga
4
conversion
3
vivado
3
nextpnr
3
icestorm
3
ghdl
3
python
3
trellis
2
tcl
2
quartus
2
libero
2
ise
2
diamond
2
simulation
2
spyglass
1
synthesis
1
elk
1
verilator
1
vhdl
1
xilinx
1
arachne-pnr
1
conda-environment
1
f4pga
1
diagram
1
vpr
1
perf
1
toolchain
1
performance-analysis
1
symbiflow
1
riviera-pro
1
modelsim
1
lattice
1
icarus-verilog
1
fossi
1
eda
1
altera
1
klayjs
1
netlist
1
visualization
1
logic
1
rtl
1
vcd
1
simulator
1