proxy.golang.org "systemverilog" keyword
View the packages on the proxy.golang.org package registry that are tagged with the "systemverilog" keyword.
Top 6.7% on proxy.golang.org
58 versions - Latest release: about 2 months ago - 336 stars on GitHub
github.com/mshr-h/vscode-verilog-hdl-support v1.16.1 💰
HDL support for VS Code58 versions - Latest release: about 2 months ago - 336 stars on GitHub
Top 5.8% on proxy.golang.org
33 versions - Latest release: 12 months ago - 61 stars on GitHub
github.com/damofthemoon/svut v1.10.0
SVUT is a simple framework to create Verilog/SystemVerilog unit tests. Just focus on your tests!33 versions - Latest release: 12 months ago - 61 stars on GitHub
Top 5.6% on proxy.golang.org
57 versions - Latest release: 26 days ago - 800 stars on GitHub
github.com/veryl-lang/veryl v0.16.4 💰
Veryl: A Modern Hardware Description Language57 versions - Latest release: 26 days ago - 800 stars on GitHub
Top 5.8% on proxy.golang.org
26 versions - Latest release: 11 days ago - 708 stars on GitHub
github.com/olofk/edalize v0.6.2
An abstraction library for interfacing EDA tools26 versions - Latest release: 11 days ago - 708 stars on GitHub
Top 5.6% on proxy.golang.org
1 version - Latest release: almost 3 years ago - 229 stars on GitHub
github.com/chipsalliance/uhdm v0.9.2
Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VP...1 version - Latest release: almost 3 years ago - 229 stars on GitHub
Top 5.8% on proxy.golang.org
16 versions - Latest release: 4 months ago - 145 stars on GitHub
github.com/SystemRDL/PeakRDL v1.4.0
Control and status register code generator toolchain16 versions - Latest release: 4 months ago - 145 stars on GitHub
Top 5.8% on proxy.golang.org
16 versions - Latest release: 4 months ago - 145 stars on GitHub
github.com/systemrdl/peakrdl v1.4.0
Control and status register code generator toolchain16 versions - Latest release: 4 months ago - 145 stars on GitHub
Top 5.8% on proxy.golang.org
57 versions - Latest release: 9 months ago - 1,543 stars on GitHub
github.com/clash-lang/clash-compiler v1.8.2
Haskell to VHDL/Verilog/SystemVerilog compiler57 versions - Latest release: 9 months ago - 1,543 stars on GitHub
Top 6.7% on proxy.golang.org
43 versions - Latest release: 23 days ago - 535 stars on GitHub
github.com/dalance/svls v0.2.13 💰
SystemVerilog language server43 versions - Latest release: 23 days ago - 535 stars on GitHub
Top 5.6% on proxy.golang.org
1 version - Latest release: almost 3 years ago - 229 stars on GitHub
github.com/chipsalliance/UHDM v0.9.2
Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VP...1 version - Latest release: almost 3 years ago - 229 stars on GitHub
Top 5.8% on proxy.golang.org
2 versions - Latest release: 3 months ago - 93 stars on GitHub
github.com/intel/rohd-hcl v0.2.0
A hardware component library developed with ROHD.2 versions - Latest release: 3 months ago - 93 stars on GitHub
Top 6.7% on proxy.golang.org
57 versions - Latest release: almost 2 years ago - 449 stars on GitHub
github.com/dalance/sv-parser v0.13.3 💰
SystemVerilog parser library fully compliant with IEEE 1800-201757 versions - Latest release: almost 2 years ago - 449 stars on GitHub
Top 5.8% on proxy.golang.org
18 versions - Latest release: over 4 years ago - 13 stars on GitHub
github.com/sgherbst/pysvinst v0.1.9
Python library for parsing module definitions and instantiations from SystemVerilog files18 versions - Latest release: over 4 years ago - 13 stars on GitHub
Top 5.6% on proxy.golang.org
28 versions - Latest release: about 1 month ago - 646 stars on GitHub
github.com/TerosTechnology/vscode-terosHDL v8.0.3+incompatible
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!28 versions - Latest release: about 1 month ago - 646 stars on GitHub
Top 5.6% on proxy.golang.org
28 versions - Latest release: about 1 month ago - 646 stars on GitHub
github.com/terostechnology/vscode-teroshdl v8.0.3+incompatible
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!28 versions - Latest release: about 1 month ago - 646 stars on GitHub
Top 6.7% on proxy.golang.org
57 versions - Latest release: 26 days ago - 397 stars on GitHub
github.com/dalance/veryl v0.16.4 💰
Veryl: A Modern Hardware Description Language57 versions - Latest release: 26 days ago - 397 stars on GitHub
Top 5.6% on proxy.golang.org
47 versions - Latest release: 4 months ago - 31 stars on GitHub
github.com/hep-soc/socmake v0.3.1
CMake based hardware build system47 versions - Latest release: 4 months ago - 31 stars on GitHub
Top 5.6% on proxy.golang.org
47 versions - Latest release: 4 months ago - 31 stars on GitHub
github.com/HEP-SoC/SoCMake v0.3.1
CMake based hardware build system47 versions - Latest release: 4 months ago - 31 stars on GitHub
Top 8.2% on proxy.golang.org
2 versions - Latest release: over 4 years ago - 1,201 stars on GitHub
github.com/hdl-util/hdmi v1.2.1 💰
Send video/audio over HDMI on an FPGA2 versions - Latest release: over 4 years ago - 1,201 stars on GitHub
Top 5.8% on proxy.golang.org
16 versions - Latest release: almost 5 years ago - 44 stars on GitHub
github.com/sgherbst/svreal v0.2.7
Synthesizable real number library in SystemVerilog, supporting both fixed- and floating-point for...16 versions - Latest release: almost 5 years ago - 44 stars on GitHub
Top 5.6% on proxy.golang.org
2 versions - Latest release: over 3 years ago - 29 stars on GitHub
github.com/bensampson5/libsv v0.2.1
An open source, parameterized SystemVerilog digital hardware IP library2 versions - Latest release: over 3 years ago - 29 stars on GitHub
Top 5.6% on proxy.golang.org
github.com/dalance/svlint-action v1.0.2
5 versions - Latest release: over 5 years ago - 8 stars on GitHub
Top 5.8% on proxy.golang.org
36 versions - Latest release: 4 months ago - 14 stars on GitHub
github.com/rggen/rggen-systemverilog v0.35.1
SystemVerilog RTL and UVM RAL model generators for RgGen36 versions - Latest release: 4 months ago - 14 stars on GitHub
Top 6.4% on proxy.golang.org
64 versions - Latest release: 23 days ago - 357 stars on GitHub
github.com/dalance/svlint v0.9.4 💰
SystemVerilog linter64 versions - Latest release: 23 days ago - 357 stars on GitHub
Top 6.7% on proxy.golang.org
14 versions - Latest release: 7 months ago - 664 stars on GitHub
github.com/zachjs/sv2v v0.0.13
SystemVerilog to Verilog conversion14 versions - Latest release: 7 months ago - 664 stars on GitHub
Top 4.4% on proxy.golang.org
14 versions - Latest release: 7 months ago - 664 stars on GitHub
github.com/Zachjs/sv2v v0.0.13
SystemVerilog to Verilog conversion14 versions - Latest release: 7 months ago - 664 stars on GitHub
Top 4.4% on proxy.golang.org
14 versions - Latest release: 7 months ago - 664 stars on GitHub
github.com/ZachJS/sv2v v0.0.13
SystemVerilog to Verilog conversion14 versions - Latest release: 7 months ago - 664 stars on GitHub
Top 5.6% on proxy.golang.org
1 version - Latest release: over 8 years ago - 125 stars on GitHub
github.com/agalimberti/NoCRouter v0.2.1
RTL Network-on-Chip Router Design in SystemVerilog by Andrea Galimberti, Filippo Testa and Albert...1 version - Latest release: over 8 years ago - 125 stars on GitHub
Top 6.7% on proxy.golang.org
87 versions - Latest release: 11 months ago - 1,366 stars on GitHub
github.com/pulp-platform/axi v0.39.5
AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance o...87 versions - Latest release: 11 months ago - 1,366 stars on GitHub
Top 5.8% on proxy.golang.org
49 versions - Latest release: 3 months ago - 421 stars on GitHub
github.com/rggen/rggen v0.35.2 💰
Code generation tool for control and status registers49 versions - Latest release: 3 months ago - 421 stars on GitHub
Top 5.6% on proxy.golang.org
1 version - Latest release: over 8 years ago - 125 stars on GitHub
github.com/agalimberti/nocrouter v0.2.1
RTL Network-on-Chip Router Design in SystemVerilog by Andrea Galimberti, Filippo Testa and Albert...1 version - Latest release: over 8 years ago - 125 stars on GitHub
Top 5.6% on proxy.golang.org
1 version - Latest release: almost 7 years ago - 389 stars on GitHub
github.com/taichi-ishitani/tvip-axi v0.1.1 💰
AMBA AXI VIP1 version - Latest release: almost 7 years ago - 389 stars on GitHub
Top 5.6% on proxy.golang.org
6 versions - Latest release: over 3 years ago - 26 stars on GitHub
github.com/jameshanlon/netlist-paths v0.5.0
A library and command-line tool for querying a Verilog netlist.6 versions - Latest release: over 3 years ago - 26 stars on GitHub
Top 5.8% on proxy.golang.org
16 versions - Latest release: over 4 years ago - 47 stars on GitHub
github.com/sgherbst/svinst v0.1.6
Determines the modules declared and instantiated in a SystemVerilog file16 versions - Latest release: over 4 years ago - 47 stars on GitHub
Related Keywords
verilog
24
fpga
12
asic
8
vhdl
7
rust
6
verilator
6
rtl
5
axi
5
uvm
5
yosys
4
icarus-verilog
4
vivado
4
eda
4
amba
4
parser
3
conversion
3
simulation
3
network-on-chip
3
apb
3
hardware
3
uvm-register-model
3
csr
3
hardware-description-language
3
register-descriptions
3
synthesis
2
iverilog
2
declaration
2
xilinx
2
ieee-standard
2
listener
2
serialization
2
vpi-api
2
vpi-interface
2
command-line-tool
2
registers
2
systemrdl-compiler
2
vhdl-language
2
modelsim
2
systemc
2
system-on-chip
2
integrated-circuits
2
cmake
2
axi4
2
router
2
noc
2
python
2
build-system
2
build-automation
2
ral
2
parsing
2
ip
2
package
2
hdl
2
altera
2
module
2
interface
2
instantiation
2
fixed-point
1
floating-point
1
icarus
1
netlist-paths
1
video
1
quartus
1
intel
1
hdmi
1
vip
1
amba-axi
1
wishbone-bus
1
wiki-documents
1
uvm-ral-model
1
soc
1
axi4-lite
1
linter
1
lint
1
github-actions
1
hardware-libraries
1
hardware-designs
1
fpga-library
1
digital-design
1
asic-library
1
xrun
1
xcelium
1
vcs
1
synthesizable
1
ncsim
1
irun
1
vcd
1
testcase
1
tdd-utilities
1
tdd
1
svut
1
simulator
1
mit-license
1
gtkwave
1
foss
1
flow
1
vscode
1
verilog-hdl
1
systemverilog-support
1
svls
1