proxy.golang.org "fpga" keyword
View the packages on the proxy.golang.org package registry that are tagged with the "fpga" keyword.
Top 5.8% on proxy.golang.org
108 versions - Latest release: about 1 month ago - 1,071 stars on GitHub
github.com/siliconcompiler/siliconcompiler v0.34.3
Modular hardware build system108 versions - Latest release: about 1 month ago - 1,071 stars on GitHub
Top 5.8% on proxy.golang.org
31 versions - Latest release: 5 days ago - 6,105 stars on GitHub
github.com/logisim-evolution/logisim-evolution v4.0.0+incompatible
Digital logic design tool and simulator31 versions - Latest release: 5 days ago - 6,105 stars on GitHub
Top 6.7% on proxy.golang.org
65 versions - Latest release: 14 days ago - 1,843 stars on GitHub
github.com/stnolting/neorv32 v1.12.1
🖥️ A small, customizable and extensible MCU-class 32-bit RISC-V soft-core CPU and microcontroller...65 versions - Latest release: 14 days ago - 1,843 stars on GitHub
Top 9.6% on proxy.golang.org
6 versions - Latest release: over 4 years ago - 514 stars on GitHub
github.com/google/qkeras v0.9.0
QKeras: a quantization deep learning library for Tensorflow Keras6 versions - Latest release: over 4 years ago - 514 stars on GitHub
Top 6.7% on proxy.golang.org
28 versions - Latest release: almost 5 years ago - 883 stars on GitHub
github.com/fpgawars/apio v6.0.0+incompatible
:seedling: Open source ecosystem for open FPGA boards28 versions - Latest release: almost 5 years ago - 883 stars on GitHub
Top 6.7% on proxy.golang.org
28 versions - Latest release: almost 5 years ago - 883 stars on GitHub
github.com/FPGAwars/apio v6.0.0+incompatible
:seedling: Open source ecosystem for open FPGA boards28 versions - Latest release: almost 5 years ago - 883 stars on GitHub
Top 4.9% on proxy.golang.org
16 versions - Latest release: 2 months ago - 1,309 stars on GitHub
github.com/beehive-lab/tornadovm v1.1.1
TornadoVM: A practical and efficient heterogeneous programming framework for managed languages16 versions - Latest release: 2 months ago - 1,309 stars on GitHub
Top 6.7% on proxy.golang.org
97 versions - Latest release: 28 days ago - 1,847 stars on GitHub
github.com/SpinalHDL/SpinalHDL v1.12.3 💰
Scala based HDL97 versions - Latest release: 28 days ago - 1,847 stars on GitHub
Top 6.7% on proxy.golang.org
97 versions - Latest release: 28 days ago - 1,847 stars on GitHub
github.com/spinalhdl/spinalhdl v1.12.3 💰
Scala based HDL97 versions - Latest release: 28 days ago - 1,847 stars on GitHub
Top 5.6% on proxy.golang.org
32 versions - Latest release: 4 months ago - 72 stars on GitHub
github.com/hdl-registers/hdl-registers v8.0.1+incompatible
An open-source HDL register code generator fast enough to run in real time.32 versions - Latest release: 4 months ago - 72 stars on GitHub
Top 6.7% on proxy.golang.org
87 versions - Latest release: 11 months ago - 1,366 stars on GitHub
github.com/pulp-platform/axi v0.39.5
AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance o...87 versions - Latest release: 11 months ago - 1,366 stars on GitHub
Top 5.6% on proxy.golang.org
15 versions - Latest release: 4 months ago - 226 stars on GitHub
github.com/kactus2/kactus2dev v3.13.5+incompatible
Kactus2 is a graphical EDA tool based on the IP-XACT standard.15 versions - Latest release: 4 months ago - 226 stars on GitHub
Top 8.2% on proxy.golang.org
2 versions - Latest release: about 1 year ago - 859 stars on GitHub
github.com/Xilinx/finn v0.10.1
Dataflow compiler for QNN inference on FPGAs2 versions - Latest release: about 1 year ago - 859 stars on GitHub
Top 8.2% on proxy.golang.org
2 versions - Latest release: about 1 year ago - 859 stars on GitHub
github.com/xilinx/finn v0.10.1
Dataflow compiler for QNN inference on FPGAs2 versions - Latest release: about 1 year ago - 859 stars on GitHub
Top 9.0% on proxy.golang.org
6 versions - Latest release: about 1 month ago - 3,514 stars on GitHub
github.com/open-sdr/openwifi v1.5.0
open-source IEEE 802.11 WiFi baseband FPGA (chip) design: driver, software6 versions - Latest release: about 1 month ago - 3,514 stars on GitHub
Top 5.8% on proxy.golang.org
16 versions - Latest release: 4 months ago - 142 stars on GitHub
github.com/systemrdl/peakrdl v1.4.0
Control and status register code generator toolchain16 versions - Latest release: 4 months ago - 142 stars on GitHub
Top 5.6% on proxy.golang.org
82 versions - Latest release: almost 5 years ago - 476 stars on GitHub
github.com/platformio/platformio-atom-ide v2.7.2+incompatible 💰
PlatformIO IDE for Atom: The next generation integrated development environment for IoT82 versions - Latest release: almost 5 years ago - 476 stars on GitHub
Top 5.8% on proxy.golang.org
57 versions - Latest release: 8 months ago - 1,535 stars on GitHub
github.com/clash-lang/clash-compiler v1.8.2
Haskell to VHDL/Verilog/SystemVerilog compiler57 versions - Latest release: 8 months ago - 1,535 stars on GitHub
Top 5.8% on proxy.golang.org
49 versions - Latest release: about 2 months ago - 421 stars on GitHub
github.com/rggen/rggen v0.35.2 💰
Code generation tool for control and status registers49 versions - Latest release: about 2 months ago - 421 stars on GitHub
Top 6.7% on proxy.golang.org
16 versions - Latest release: about 4 years ago - 7,141 stars on GitHub
github.com/PaddlePaddle/Paddle-Lite v2.9.1+incompatible
PaddlePaddle High Performance Deep Learning Inference Engine for Mobile and Edge (飞桨高性能深度学习端侧推理引擎)16 versions - Latest release: about 4 years ago - 7,141 stars on GitHub
Top 6.7% on proxy.golang.org
16 versions - Latest release: about 4 years ago - 7,141 stars on GitHub
github.com/paddlepaddle/paddle-lite v2.9.1+incompatible
PaddlePaddle High Performance Deep Learning Inference Engine for Mobile and Edge (飞桨高性能深度学习端侧推理引擎)16 versions - Latest release: about 4 years ago - 7,141 stars on GitHub
Top 5.6% on proxy.golang.org
17 versions - Latest release: over 4 years ago - 101 stars on GitHub
github.com/pulp-platform/hero v0.2.1
Heterogeneous Research Platform (HERO) for exploration of heterogeneous computers consisting of p...17 versions - Latest release: over 4 years ago - 101 stars on GitHub
Top 5.6% on proxy.golang.org
2 versions - Latest release: over 3 years ago - 200 stars on GitHub
github.com/stnolting/neoTRNG v2.0.0+incompatible
🎲 A Tiny and Platform-Independent True Random Number Generator for any FPGA (and ASIC).2 versions - Latest release: over 3 years ago - 200 stars on GitHub
Top 5.6% on proxy.golang.org
2 versions - Latest release: over 3 years ago - 200 stars on GitHub
github.com/stnolting/neotrng v2.0.0+incompatible
🎲 A Tiny and Platform-Independent True Random Number Generator for any FPGA (and ASIC).2 versions - Latest release: over 3 years ago - 200 stars on GitHub
Top 5.6% on proxy.golang.org
2 versions - Latest release: over 3 years ago - 134 stars on GitHub
github.com/pyfpga/pyfpga v0.2.0
A Python package to use FPGA development tools programmatically.2 versions - Latest release: over 3 years ago - 134 stars on GitHub
Top 5.8% on proxy.golang.org
10 versions - Latest release: 7 months ago - 2,605 stars on GitHub
github.com/openhwgroup/cva6 v5.3.0+incompatible
The CORE-V CVA6 is a highly configurable, 6-stage RISC-V core for both application and embedded a...10 versions - Latest release: 7 months ago - 2,605 stars on GitHub
Top 5.6% on proxy.golang.org
2 versions - Latest release: over 3 years ago - 134 stars on GitHub
github.com/PyFPGA/pyfpga v0.2.0
A Python package to use FPGA development tools programmatically.2 versions - Latest release: over 3 years ago - 134 stars on GitHub
Top 5.6% on proxy.golang.org
29 versions - Latest release: 9 days ago - 100 stars on GitHub
github.com/xtofalex/naja v0.2.10
Structural Netlist API (and more) for EDA post synthesis flow development29 versions - Latest release: 9 days ago - 100 stars on GitHub
Top 5.6% on proxy.golang.org
6 versions - Latest release: over 1 year ago - 325 stars on GitHub
github.com/dpretet/async_fifo v1.3.1
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog6 versions - Latest release: over 1 year ago - 325 stars on GitHub
Top 5.8% on proxy.golang.org
2 versions - Latest release: about 6 years ago - 56 stars on GitHub
github.com/SpinalHDL/SpinalCrypto v1.1.0
SpinalHDL - Cryptography libraries2 versions - Latest release: about 6 years ago - 56 stars on GitHub
Top 5.6% on proxy.golang.org
22 versions - Latest release: almost 2 years ago - 313 stars on GitHub
github.com/lombiq/hastlayer-sdk v2.1.1+incompatible
Turning .NET software into FPGA hardware for faster execution and lower power usage.22 versions - Latest release: almost 2 years ago - 313 stars on GitHub
Top 5.6% on proxy.golang.org
22 versions - Latest release: almost 2 years ago - 313 stars on GitHub
github.com/Lombiq/Hastlayer-SDK v2.1.1+incompatible
Turning .NET software into FPGA hardware for faster execution and lower power usage.22 versions - Latest release: almost 2 years ago - 313 stars on GitHub
Top 5.6% on proxy.golang.org
24 versions - Latest release: almost 2 years ago - 98 stars on GitHub
github.com/byuccl/spydrnet v1.13.0
A flexible framework for analyzing and transforming FPGA netlists. Official repository.24 versions - Latest release: almost 2 years ago - 98 stars on GitHub
Top 6.7% on proxy.golang.org
14 versions - Latest release: about 2 months ago - 1,769 stars on GitHub
github.com/amaranth-lang/amaranth v0.5.7
A modern hardware definition language and toolchain based on Python14 versions - Latest release: about 2 months ago - 1,769 stars on GitHub
Top 5.8% on proxy.golang.org
19 versions - Latest release: 8 months ago - 1,399 stars on GitHub
github.com/trabucayre/openfpgaloader v0.13.1
Universal utility for programming FPGA19 versions - Latest release: 8 months ago - 1,399 stars on GitHub
Top 5.8% on proxy.golang.org
19 versions - Latest release: 8 months ago - 1,399 stars on GitHub
github.com/trabucayre/openFPGALoader v0.13.1
Universal utility for programming FPGA19 versions - Latest release: 8 months ago - 1,399 stars on GitHub
github.com/Functional-Bus-Description-Language/go-fbdl v0.0.0-20240105202638-0d6371e25766
Functional Bus Description Language compiler front-end written in Go.17 versions - Latest release: over 1 year ago - 1 dependent package - 1 dependent repositories - 0 stars on GitHub
Top 8.2% on proxy.golang.org
2 versions - Latest release: over 4 years ago - 1,198 stars on GitHub
github.com/hdl-util/hdmi v1.2.1 💰
Send video/audio over HDMI on an FPGA2 versions - Latest release: over 4 years ago - 1,198 stars on GitHub
Top 5.8% on proxy.golang.org
10 versions - Latest release: 10 months ago - 77 stars on GitHub
github.com/greatscottgadgets/apollo v1.1.1 💰
microcontroller-based FPGA / JTAG programmer10 versions - Latest release: 10 months ago - 77 stars on GitHub
Top 5.6% on proxy.golang.org
1 version - Latest release: about 7 years ago - 102 stars on GitHub
github.com/chipsalliance/fpga-tool-perf v0.0.1
FPGA tool performance profiling1 version - Latest release: about 7 years ago - 102 stars on GitHub
Top 5.8% on proxy.golang.org
2 versions - Latest release: about 6 years ago - 56 stars on GitHub
github.com/spinalhdl/spinalcrypto v1.1.0
SpinalHDL - Cryptography libraries2 versions - Latest release: about 6 years ago - 56 stars on GitHub
Top 5.8% on proxy.golang.org
212 versions - Latest release: 24 days ago - 382 stars on GitHub
github.com/slaclab/surf v2.61.0+incompatible
A huge VHDL library for FPGA development212 versions - Latest release: 24 days ago - 382 stars on GitHub
Top 5.6% on proxy.golang.org
10 versions - Latest release: 7 months ago - 2,470 stars on GitHub
github.com/pulp-platform/ariane v5.3.0+incompatible
The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux10 versions - Latest release: 7 months ago - 2,470 stars on GitHub
Top 5.8% on proxy.golang.org
25 versions - Latest release: 5 months ago - 708 stars on GitHub
github.com/olofk/edalize v0.6.1
An abstraction library for interfacing EDA tools25 versions - Latest release: 5 months ago - 708 stars on GitHub
Top 5.6% on proxy.golang.org
16 versions - Latest release: about 4 years ago - 7,084 stars on GitHub
github.com/baidu/mobile-deep-learning v2.9.1+incompatible
PaddlePaddle High Performance Deep Learning Inference Engine for Mobile and Edge (飞桨高性能深度学习端侧推理引擎)16 versions - Latest release: about 4 years ago - 7,084 stars on GitHub
Top 8.2% on proxy.golang.org
7 versions - Latest release: over 5 years ago - 40 stars on GitHub
github.com/dltcollab/dcurl v0.6.0
Hardware-accelerated Multi-threaded IOTA PoW, drop-in replacement for ccurl7 versions - Latest release: over 5 years ago - 40 stars on GitHub
Top 6.7% on proxy.golang.org
59 versions - Latest release: about 1 year ago - 681 stars on GitHub
github.com/emsec/hal v4.4.1+incompatible
HAL – The Hardware Analyzer59 versions - Latest release: about 1 year ago - 681 stars on GitHub
Top 5.6% on proxy.golang.org
2 versions - Latest release: over 3 years ago - 26 stars on GitHub
github.com/bensampson5/libsv v0.2.1
An open source, parameterized SystemVerilog digital hardware IP library2 versions - Latest release: over 3 years ago - 26 stars on GitHub
Top 5.7% on proxy.golang.org
9 versions - Latest release: over 3 years ago - 40 stars on GitHub
github.com/platformio/platform-lattice_ice40 v1.3.0
Lattice iCE40: development platform for PlatformIO9 versions - Latest release: over 3 years ago - 40 stars on GitHub
Top 5.6% on proxy.golang.org
10 versions - Latest release: 18 days ago - 169 stars on GitHub
github.com/dpretet/axi-crossbar v1.1.0 💰
An AXI4 crossbar implementation in SystemVerilog10 versions - Latest release: 18 days ago - 169 stars on GitHub
Top 9.0% on proxy.golang.org
28 versions - Latest release: almost 2 years ago - 1 stars on GitHub
github.com/holoplot/ravenna-fpga-drivers/go v0.0.0-20231114114324-f9c92288c0eb
A set of Linux kernel drivers to support the LAWO Ravenna FPGA implementation, with Go bindings28 versions - Latest release: almost 2 years ago - 1 stars on GitHub
Top 5.6% on proxy.golang.org
28 versions - Latest release: 18 days ago - 635 stars on GitHub
github.com/terostechnology/vscode-teroshdl v8.0.3+incompatible
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!28 versions - Latest release: 18 days ago - 635 stars on GitHub
Top 5.6% on proxy.golang.org
28 versions - Latest release: 18 days ago - 635 stars on GitHub
github.com/TerosTechnology/vscode-terosHDL v8.0.3+incompatible
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!28 versions - Latest release: 18 days ago - 635 stars on GitHub
Top 5.8% on proxy.golang.org
9 versions - Latest release: 8 months ago - 98 stars on GitHub
github.com/ktok07b6/polyphony v0.4.0
Polyphony is Python based High-Level Synthesis compiler.9 versions - Latest release: 8 months ago - 98 stars on GitHub
Top 5.8% on proxy.golang.org
10 versions - Latest release: about 7 years ago - 550 stars on GitHub
github.com/VLSI-EDA/PoC v1.2.0
IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architec...10 versions - Latest release: about 7 years ago - 550 stars on GitHub
Top 9.5% on proxy.golang.org
Latest release: 19 days ago - 68 stars on GitHub
github.com/rj45/rj32/tilemap
A 16-bit RISC CPU with 32 instructions built with Digital for running on an FPGA.Latest release: 19 days ago - 68 stars on GitHub
Top 5.8% on proxy.golang.org
56 versions - Latest release: 23 days ago - 84 stars on GitHub
github.com/DFiantHDL/DFiant v0.13.0
DFiant HDL (DFHDL): A Dataflow Hardware Descripition Language56 versions - Latest release: 23 days ago - 84 stars on GitHub
Top 5.8% on proxy.golang.org
30 versions - Latest release: about 1 year ago - 5,617 stars on GitHub
github.com/reds-heig/logisim-evolution v3.9.0+incompatible
Digital logic design tool and simulator30 versions - Latest release: about 1 year ago - 5,617 stars on GitHub
Top 5.8% on proxy.golang.org
10 versions - Latest release: about 7 years ago - 550 stars on GitHub
github.com/vlsi-eda/poc v1.2.0
IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architec...10 versions - Latest release: about 7 years ago - 550 stars on GitHub
Top 6.7% on proxy.golang.org
16 versions - Latest release: about 3 years ago - 319 stars on GitHub
github.com/tensil-ai/tensil v1.0.15
Open source machine learning accelerators16 versions - Latest release: about 3 years ago - 319 stars on GitHub
Top 5.8% on proxy.golang.org
27 versions - Latest release: almost 7 years ago - 153 stars on GitHub
github.com/cambridgehackers/connectal v18.12.1+incompatible
Connectal is a framework for software-driven hardware development.27 versions - Latest release: almost 7 years ago - 153 stars on GitHub
Top 5.8% on proxy.golang.org
56 versions - Latest release: 23 days ago - 84 stars on GitHub
github.com/dfianthdl/dfiant v0.13.0
DFiant HDL (DFHDL): A Dataflow Hardware Descripition Language56 versions - Latest release: 23 days ago - 84 stars on GitHub
Top 9.6% on proxy.golang.org
2 versions - Latest release: almost 8 years ago - 139 stars on GitHub
github.com/libmir/dcompute v0.1.0
DCompute: Native execution of D on GPUs and other Accelerators2 versions - Latest release: almost 8 years ago - 139 stars on GitHub
Top 8.2% on proxy.golang.org
6 versions - Latest release: almost 5 years ago - 7 stars on GitHub
github.com/jorgefuertes/mister-modemu v0.2.5
Modem Emulator for Mister FPGA6 versions - Latest release: almost 5 years ago - 7 stars on GitHub
Top 9.5% on proxy.golang.org
1 version - Latest release: almost 5 years ago - 82 stars on GitHub
github.com/symbiflow/fasm v0.0.2
FPGA Assembly (FASM) Parser and Generator1 version - Latest release: almost 5 years ago - 82 stars on GitHub
Top 5.8% on proxy.golang.org
21 versions - Latest release: over 3 years ago - 1,803 stars on GitHub
github.com/FPGAwars/icestudio v0.9.0
:snowflake: Visual editor for open FPGA boards21 versions - Latest release: over 3 years ago - 1,803 stars on GitHub
Top 5.8% on proxy.golang.org
21 versions - Latest release: over 3 years ago - 1,803 stars on GitHub
github.com/fpgawars/icestudio v0.9.0
:snowflake: Visual editor for open FPGA boards21 versions - Latest release: over 3 years ago - 1,803 stars on GitHub
Top 9.6% on proxy.golang.org
6 versions - Latest release: over 1 year ago - 25 stars on GitHub
github.com/edgecortix-inc/mera v1.6.0
A Heterogeneous Platform Deep Learning Compiler Framework from EdgeCortix6 versions - Latest release: over 1 year ago - 25 stars on GitHub
Top 5.8% on proxy.golang.org
51 versions - Latest release: 8 months ago - 257 stars on GitHub
github.com/systemrdl/systemrdl-compiler v1.29.3
SystemRDL 2.0 language compiler front-end51 versions - Latest release: 8 months ago - 257 stars on GitHub
Top 5.8% on proxy.golang.org
51 versions - Latest release: 8 months ago - 255 stars on GitHub
github.com/SystemRDL/systemrdl-compiler v1.29.3
SystemRDL 2.0 language compiler front-end51 versions - Latest release: 8 months ago - 255 stars on GitHub
Top 5.6% on proxy.golang.org
47 versions - Latest release: 3 months ago - 30 stars on GitHub
github.com/HEP-SoC/SoCMake v0.3.1
CMake based hardware build system47 versions - Latest release: 3 months ago - 30 stars on GitHub
Top 5.6% on proxy.golang.org
47 versions - Latest release: 3 months ago - 30 stars on GitHub
github.com/hep-soc/socmake v0.3.1
CMake based hardware build system47 versions - Latest release: 3 months ago - 30 stars on GitHub
Top 5.8% on proxy.golang.org
5 versions - Latest release: almost 6 years ago - 77 stars on GitHub
github.com/Obijuan/Z80-FPGA v1.4.0
Z80 CPU for OpenFPGAs, with Icestudio5 versions - Latest release: almost 6 years ago - 77 stars on GitHub
Top 5.8% on proxy.golang.org
5 versions - Latest release: almost 6 years ago - 77 stars on GitHub
github.com/obijuan/z80-fpga v1.4.0
Z80 CPU for OpenFPGAs, with Icestudio5 versions - Latest release: almost 6 years ago - 77 stars on GitHub
Top 5.6% on proxy.golang.org
127 versions - Latest release: over 2 years ago - 784 stars on GitHub
github.com/VUnit/vunit v4.7.0+incompatible
VUnit is a unit testing framework for VHDL/SystemVerilog127 versions - Latest release: over 2 years ago - 784 stars on GitHub
Top 5.6% on proxy.golang.org
127 versions - Latest release: over 2 years ago - 784 stars on GitHub
github.com/vunit/vunit v4.7.0+incompatible
VUnit is a unit testing framework for VHDL/SystemVerilog127 versions - Latest release: over 2 years ago - 784 stars on GitHub
Top 8.2% on proxy.golang.org
1 version - Latest release: over 4 years ago - 40 stars on GitHub
github.com/DLTcollab/dcurl/cgo v0.0.0-20210609023942-d5a82d065b10
Hardware-accelerated Multi-threaded IOTA PoW, drop-in replacement for ccurl1 version - Latest release: over 4 years ago - 40 stars on GitHub
Top 5.6% on proxy.golang.org
8 versions - Latest release: over 2 years ago - 117 stars on GitHub
github.com/esynr3z/corsair v1.0.4
Control and Status Register map generator for HDL projects8 versions - Latest release: over 2 years ago - 117 stars on GitHub
Top 5.8% on proxy.golang.org
16 versions - Latest release: 4 months ago - 142 stars on GitHub
github.com/SystemRDL/PeakRDL v1.4.0
Control and status register code generator toolchain16 versions - Latest release: 4 months ago - 142 stars on GitHub
Top 9.0% on proxy.golang.org
10 versions - Latest release: almost 2 years ago - 0 stars on GitHub
github.com/Functional-Bus-Description-Language/go-vfbdb v0.0.0-20230925135434-5bc6f2f18fe7
Versatile Functional Bus Description Language compiler back-end written in Go.10 versions - Latest release: almost 2 years ago - 0 stars on GitHub
Top 9.8% on proxy.golang.org
1 version - Latest release: almost 3 years ago - 57 stars on GitHub
github.com/rj45/rj32/emurj v0.0.0-20220924211506-cf2a2005fb55
A 16-bit RISC CPU with 32 instructions built with Digital for running on an FPGA.1 version - Latest release: almost 3 years ago - 57 stars on GitHub
github.com/m-kru/go-hdl v0.0.0-20221212112119-1151bb865674
Hdl is a tool for easing the work with hardware description languages.1 version - Latest release: almost 3 years ago - 11 stars on GitHub
Top 9.5% on proxy.golang.org
Latest release: 4 months ago - 8 stars on GitHub
github.com/kaist-ncl/accelerator-k8s
Kubernetes device plugin supporting FPGA and other acceleratorsLatest release: 4 months ago - 8 stars on GitHub
Related Keywords
asic
32
verilog
31
vhdl
24
eda
13
systemverilog
12
hdl
11
lattice
11
python
10
hardware
10
rtl
10
icestorm
8
xilinx
7
csr
6
neural-network
5
verification
5
axi
5
scala
5
simulation
5
hardware-description-language
5
asic-design
5
register-descriptions
5
embedded
5
cpu
5
synthesis
5
systemverilog-hdl
4
verilator
4
dataflow
4
systemrdl-compiler
4
registers
4
deep-learning
4
simulator
4
opencl
4
system-on-chip
4
arm
4
hardware-designs
4
altera
4
amba
4
processor
4
yosys
4
icestudio
4
cryptography
4
vivado
4
integrated-circuits
3
circuits
3
ghdl
3
bitstream
3
nextpnr
3
quartus
3
uvm-register-model
3
uvm
3
intel
3
apb
3
axi4
3
dvi
3
risc-v
3
riscv
3
mdl
3
mali
3
baidu
3
soc
3
wishbone-bus
3
mobile
3
neorv32
3
circuit
3
machine-learning
3
quantization
3
verilog-hdl
3
mobile-deep-learning
3
fpga-soc
3
netlist
3
ide
3
hardware-libraries
3
crc
2
ariane
2
aes
2
icarus-verilog
2
rv64gc
2
chaos
2
entropy
2
entropy-source
2
key-generator
2
random
2
random-number-generators
2
ring-oscillator
2
rng
2
trng
2
diamond
2
ise
2
libero
2
universal-verification-methodology
2
unit-testing
2
tcl
2
trellis
2
testbench
2
crypto
2
pow
2
simd
2
tangle
2
trytes
2
build-system
2