Ecosyste.ms: Packages

An open API service providing package, version and dependency metadata of many open source software ecosystems and registries.

pypi.org "rtl" keyword

openlane 2.0.6
An infrastructure for implementing chip design flows
84 versions - Latest release: 33 minutes ago - 1 dependent package - 1 dependent repositories - 1.31 thousand downloads last month - 1,176 stars on GitHub - 2 maintainers
hdl-registers 5.2.0
An open-source HDL register interface code generator fast enough to run in real time
17 versions - Latest release: 9 days ago - 1 dependent package - 1 dependent repositories - 912 downloads last month - 21 stars on GitHub - 1 maintainer
Top 9.5% on pypi.org
hwt 3.8
hdl synthesis toolkit
33 versions - Latest release: almost 3 years ago - 7 dependent repositories - 211 downloads last month - 188 stars on GitHub - 1 maintainer
tsfpga 12.3.3
A flexible and scalable development platform for modern FPGA projects
30 versions - Latest release: 2 days ago - 1 dependent package - 1 dependent repositories - 1.07 thousand downloads last month - 7 stars on GitHub - 1 maintainer
hwtlib 2.9
library of hardware components and test for HWToolkit framework (hwt, FPGA devel. tools)
28 versions - Latest release: almost 3 years ago - 1 dependent repositories - 160 downloads last month - 32 stars on GitHub - 1 maintainer
blockwork 1.0
An opionated EDA flow
1 version - Latest release: 10 months ago - 22 downloads last month - 12 stars on GitHub - 1 maintainer
rtlpy 1.0.3
A Library of Python Utilities for RTL Design
7 versions - Latest release: 3 months ago - 60 downloads last month - 1 maintainer
openflex 0.1.4
Framework for Logic Synthesis and EXploration
5 versions - Latest release: about 2 months ago - 37 downloads last month - 1 stars on GitHub - 1 maintainer
Top 3.4% on pypi.org
fusesoc 2.2.1
FuseSoC is a package manager and a set of build tools for HDL (Hardware Description Language) code.
24 versions - Latest release: about 1 year ago - 6 dependent packages - 18 dependent repositories - 3.27 thousand downloads last month - 1,118 stars on GitHub - 2 maintainers
Top 3.5% on pypi.org
edalize 0.5.4
Library for interfacing EDA tools such as simulators, linters or synthesis tools, using a common ...
25 versions - Latest release: 5 months ago - 4 dependent packages - 16 dependent repositories - 3.76 thousand downloads last month - 587 stars on GitHub - 1 maintainer
axilent 0.1.8
Tools for describing a sequence of Axi4Lite commands.
6 versions - Latest release: over 3 years ago - 1 dependent package - 1 dependent repositories - 671 downloads last month - 9 stars on GitHub - 1 maintainer
digsim-logic-simulator 0.4.0
Interactive Digital Logic Simulator
5 versions - Latest release: about 1 month ago - 103 downloads last month - 9 stars on GitHub - 1 maintainer
Top 7.3% on pypi.org
pymtl3 3.1.16
PyMTL 3 (Mamba): A Python-based hardware generation, simulation, and verification framework
31 versions - Latest release: 7 months ago - 3 dependent repositories - 761 downloads last month - 351 stars on GitHub - 2 maintainers
pueda 0.1.12
Collection of python for micro-Electronic Design Automation
9 versions - Latest release: 3 months ago - 29 downloads last month - 1 stars on GitHub - 1 maintainer
physical2logical 2.3.1
Convert CSS physical properties to logical
26 versions - Latest release: over 1 year ago - 16 downloads last month - 1 maintainer
sphinx-verilog-domain 0.0.2
Verilog Domain for Sphinx
3 versions - Latest release: over 3 years ago - 10 dependent repositories - 225 downloads last month - 21 stars on GitHub - 2 maintainers
sphinx_minoo_theme 1.0.1
A simple Sphinx theme with RTL language support.
8 versions - Latest release: about 1 month ago - 2 dependent repositories - 51 downloads last month - 34 stars on GitHub - 1 maintainer
sphinxcontrib-verilog-diagrams 0.1.1
Compatibility stub for renamed to sphinxcontrib-hdl-diagrams.
13 versions - Latest release: 8 months ago - 2 dependent repositories - 8 downloads last month - 48 stars on GitHub - 3 maintainers
slvcodec 0.4.18
Utilities for generating VHDL to convert to and from std_logic_vector, as well as utilties to cre...
32 versions - Latest release: 3 months ago - 1 dependent repositories - 1.96 thousand downloads last month - 11 stars on GitHub - 1 maintainer
scratchip 0.3.1
ScratChip is a framework that can help to build your Chisel and Verilog/Systemverilog project eas...
5 versions - Latest release: about 2 years ago - 1 dependent repositories - 33 downloads last month - 1 maintainer
sandpiper-saas 1.0.1
Sandpiper SaaS
5 versions - Latest release: almost 3 years ago - 1 dependent repositories - 576 downloads last month - 5 stars on GitHub - 1 maintainer
recover 0.0.0
An effective Remote Co-Verification (ReCoVer) library of hardware and software co-designs
1 version - Latest release: over 4 years ago - 2 dependent repositories - 17 downloads last month - 0 stars on GitLab.com - 1 maintainer
py-hcl 0.1.2
A Hardware Construct Language
4 versions - Latest release: over 4 years ago - 1 dependent repositories - 38 downloads last month - 38 stars on GitHub - 1 maintainer
packtype 1.1.5
Packed data structure specifications for multi-language hardware projects
8 versions - Latest release: over 2 years ago - 1 dependent repositories - 69 downloads last month - 3 stars on GitHub - 1 maintainer
markdown-autodirection 0.2.1
Extension for markdown package to set paragraph direction to `auto`
3 versions - Latest release: over 5 years ago - 1 dependent repositories - 6 downloads last month - 6 stars on GitHub - 1 maintainer
logic-toolchain 0.0.2
Wrapper for FPGA toolchain tools
2 versions - Latest release: over 5 years ago - 1 dependent repositories - 91 downloads last month - 0 stars on GitLab.com - 1 maintainer
knitkit 0.1.4
KnitKit is a framework that can help to build project easier.
5 versions - Latest release: over 2 years ago - 1 dependent repositories - 29 downloads last month - 1 maintainer
Top 6.6% on pypi.org
django-tabular-permissions 2.9.3
Display django permissions in a tabular format that is user friendly, and highly customisable
26 versions - Latest release: 27 days ago - 1 dependent package - 9 dependent repositories - 7.85 thousand downloads last month - 64 stars on GitHub - 1 maintainer
css-scripts 1.0
Package of scripts that manipulate css files
1 version - Latest release: 9 months ago - 2 dependent repositories - 1 maintainer
cssrtl 1.4
A script to translate css based design to RTL
1 version - Latest release: 9 months ago - 2 dependent repositories - 1 maintainer
Top 8.0% on pypi.org
sphinxcontrib-hdl-diagrams 0.0.post160
Generate diagrams from HDL in Sphinx.
9 versions - Latest release: 8 months ago - 1 dependent package - 16 dependent repositories - 253 downloads last month - 48 stars on GitHub - 3 maintainers
django-unfold-admin 0.4.0
RTL Unfold Django Admin Panel Theme
2 versions - Latest release: 4 months ago - 215 downloads last month - 5 stars on GitHub - 1 maintainer
wal-lang 0.8.0
Wal - Wavefile Analysis Language
2 versions - Latest release: 4 months ago - 1 dependent repositories - 39 downloads last month - 109 stars on GitHub - 2 maintainers
zarnevis 0.0.1
Zarnevis, a tool for writing RTL text in computer vision projects
1 version - Latest release: almost 3 years ago - 1 dependent repositories - 24 downloads last month - 22 stars on GitHub - 1 maintainer
mio-cli 1.3.6
The Moore.io Command Line Interface (CLI) Client is a toolchain for front-end engineering of FPGA...
48 versions - Latest release: 5 months ago - 163 downloads last month - 2 stars on GitHub - 1 maintainer
mio-client 1.5.9 removed
The Moore.io Command Line Interface (CLI) Client is a toolchain for front-end engineering of FPGA...
64 versions - Latest release: over 1 year ago - 1.33 thousand downloads last month - 1 stars on GitHub