Ecosyste.ms: Packages

An open API service providing package, version and dependency metadata of many open source software ecosystems and registries.

pypi.org "FPGA" keyword

fpyga 0.0.2
FPGA Python interface.
2 versions - Latest release: almost 3 years ago - 1 dependent repositories - 28 downloads last month - 2 stars on GitHub - 1 maintainer
myrio-library 1.3.3
A library to control the myRIO board from National Instruments
25 versions - Latest release: about 1 month ago - 246 downloads last month - 0 stars on GitHub - 1 maintainer
Top 8.4% on pypi.org
veriloggen 2.3.0
A Mixed-Paradigm Hardware Construction Framework
75 versions - Latest release: 8 months ago - 1 dependent package - 6 dependent repositories - 326 downloads last month - 296 stars on GitHub - 1 maintainer
Top 9.7% on pypi.org
peakrdl 1.1.0
Command-line tool for control/status register automation and code generation.
11 versions - Latest release: 7 months ago - 3 dependent packages - 2 dependent repositories - 6.08 thousand downloads last month - 67 stars on GitHub - 1 maintainer
Top 4.6% on pypi.org
myhdl 0.11.45
Python as a Hardware Description Language
14 versions - Latest release: 3 months ago - 3 dependent packages - 28 dependent repositories - 1.82 thousand downloads last month - 4 maintainers
Top 6.0% on pypi.org
migen 0.9.2
Python toolbox for building complex digital hardware
3 versions - Latest release: over 4 years ago - 2 dependent packages - 19 dependent repositories - 2.6 thousand downloads last month - 1,154 stars on GitHub - 1 maintainer
magia-hdl 0.5.0
Magia generates Synthesizable SystemVerilog in pythonic syntax
5 versions - Latest release: 3 months ago - 2 dependent packages - 75 downloads last month - 7 stars on GitHub - 1 maintainer
litex 2023.12
Python SoC/Core builder for building FPGA based systems.
4 versions - Latest release: 5 months ago - 5 dependent packages - 5 dependent repositories - 414 downloads last month - 2,687 stars on GitHub - 1 maintainer
liteiclink 2023.12
Small footprint and configurable Inter-Chip communication cores
4 versions - Latest release: 28 days ago - 1 dependent package - 2 dependent repositories - 44 downloads last month - 50 stars on GitHub - 1 maintainer
Top 4.7% on pypi.org
ipyxact 0.3.2
Python IP-Xact handling library
10 versions - Latest release: almost 2 years ago - 2 dependent packages - 20 dependent repositories - 14.9 thousand downloads last month - 117 stars on GitHub - 1 maintainer
Top 5.8% on pypi.org
fxpmath 0.4.9
A python library for fractional fixed-point (base 2) arithmetic and binary manipulation with Nump...
24 versions - Latest release: 3 months ago - 4 dependent packages - 4 dependent repositories - 40 thousand downloads last month - 173 stars on GitHub - 1 maintainer
Top 3.4% on pypi.org
fusesoc 2.2.1
FuseSoC is a package manager and a set of build tools for HDL (Hardware Description Language) code.
24 versions - Latest release: about 1 year ago - 6 dependent packages - 18 dependent repositories - 3.27 thousand downloads last month - 1,118 stars on GitHub - 2 maintainers
Top 3.5% on pypi.org
edalize 0.5.4
Library for interfacing EDA tools such as simulators, linters or synthesis tools, using a common ...
25 versions - Latest release: 5 months ago - 4 dependent packages - 16 dependent repositories - 3.76 thousand downloads last month - 587 stars on GitHub - 1 maintainer
axilent 0.1.8
Tools for describing a sequence of Axi4Lite commands.
6 versions - Latest release: over 3 years ago - 1 dependent package - 1 dependent repositories - 671 downloads last month - 9 stars on GitHub - 1 maintainer
pyrpl 0.9.3
DSP servo controller for quantum optics with the RedPitaya
3 versions - Latest release: almost 7 years ago - 1 dependent repositories - 192 downloads last month - 134 stars on GitHub - 1 maintainer
crcgen 2.6
CRC algorithm HDL code generator (VHDL, Verilog, MyHDL)
7 versions - Latest release: 7 months ago - 35 downloads last month - 23 stars on GitHub - 1 maintainer
chisel4ml 0.3.2
A Chisel based hardware generation library for highly quantized neural networks.
2 versions - Latest release: 21 days ago - 160 downloads last month - 7 stars on GitHub - 1 maintainer
magia-flow 0.2.1
Design flow integration and automation with Magia
4 versions - Latest release: 3 days ago - 129 downloads last month - 0 stars on GitHub - 1 maintainer
magia-ip 0.0.1
IP libraries designed with Magia
1 version - Latest release: 3 months ago - 10 downloads last month - 0 stars on GitHub - 1 maintainer
syn-magia 0.3.0 removed
Magia generates Synthesizable SystemVerilog in pythonic syntax
8 versions - Latest release: 4 months ago - 140 downloads last month - 545 stars on GitHub - 1 maintainer
litexcnc 1.2.4
Generic CNC firmware and driver for FPGA cards which are supported by LiteX
11 versions - Latest release: 3 months ago - 1 dependent repositories - 106 downloads last month - 49 stars on GitHub - 1 maintainer
liteeth 2023.12
Small footprint and configurable Ethernet core
4 versions - Latest release: 28 days ago - 2 dependent repositories - 21 downloads last month - 190 stars on GitHub - 1 maintainer
litepcie 2023.12
Small footprint and configurable PCIe core
4 versions - Latest release: 28 days ago - 2 dependent repositories - 171 downloads last month - 438 stars on GitHub - 1 maintainer
litedram 2023.12
Small footprint and configurable DRAM core
4 versions - Latest release: 28 days ago - 4 dependent repositories - 44 downloads last month - 355 stars on GitHub - 1 maintainer
llfpga 0.0.1
Lateo Labs fpga access module
1 version - Latest release: about 1 year ago - 11 downloads last month - 1 maintainer
rdl2nd 0.1.0
It generates registers memory map documentation from SystemRDL input files to NaturalDocs output.
1 version - Latest release: about 1 year ago - 16 downloads last month - 1 maintainer
pyrp3 2.0.1
Python utilities for RedPitaya
6 versions - Latest release: about 1 month ago - 1 dependent package - 76 downloads last month - 1 stars on GitHub - 1 maintainer
inaccel-gzip-zlib 3.11.0.2
InAccel gzip-zlib-like package
3 versions - Latest release: over 1 year ago - 11 downloads last month - 1 maintainer
pychip 0.0.1
A python-based Hardware Description Language
1 version - Latest release: almost 2 years ago - 13 downloads last month - 1 maintainer
wbfbd 0.0.0
wbfbd
1 version - Latest release: over 2 years ago - 1 dependent repositories - 11 downloads last month - 0 stars on GitHub - 1 maintainer
trbnet 1.0.6
Interface to TrbNet (wrapping libtrbnet.so with ctypes)
6 versions - Latest release: almost 3 years ago - 1 dependent repositories - 64 downloads last month - 3 stars on GitHub - 1 maintainer
thdl 0.0.6
thdl
6 versions - Latest release: almost 3 years ago - 1 dependent repositories - 16 downloads last month - 1 maintainer
synthesys 0.1.2 💰
High Level Synthesis tool for FPGA using NoCs.
3 versions - Latest release: almost 6 years ago - 1 dependent repositories - 37 downloads last month - 4,996 stars on GitHub - 1 maintainer
sydpy 0.0.1
System Design in Python
1 version - Latest release: over 9 years ago - 2 dependent repositories - 15 downloads last month - 12 stars on GitHub - 1 maintainer
sphinx-hwt 2.7
Sphinx extension to produce interactive schematic for hardware written in HWT
27 versions - Latest release: almost 3 years ago - 1 dependent repositories - 74 downloads last month - 11 stars on GitHub - 1 maintainer
slvcodec 0.4.18
Utilities for generating VHDL to convert to and from std_logic_vector, as well as utilties to cre...
32 versions - Latest release: 3 months ago - 1 dependent repositories - 1.96 thousand downloads last month - 11 stars on GitHub - 1 maintainer
pyokfrontpanel 4.5.6a3
Python CFFI wrapper for the Opal Kelly FrontPanel FPGA interface
2 versions - Latest release: about 7 years ago - 1 dependent repositories - 18 downloads last month - 6 stars on GitHub - 2 maintainers
pylinx 0.0.1.dev3
Python utils for Xilinx's tools
1 version - Latest release: over 4 years ago - 1 dependent repositories - 13 downloads last month - 0 stars on GitHub - 1 maintainer
pycoram 1.0.1
Python-based Portable IP-core Synthesis Framework for FPGA-based Computing
5 versions - Latest release: over 8 years ago - 3 dependent repositories - 23 downloads last month - 47 stars on GitHub - 1 maintainer
polyphony 0.3.6
Python based High Level Synthesis compiler
15 versions - Latest release: almost 5 years ago - 2 dependent repositories - 43 downloads last month - 98 stars on GitHub - 1 maintainer
myhdl_tools 0.0.3
random collection of tools to support myhdl
3 versions - Latest release: over 10 years ago - 2 dependent repositories - 18 downloads last month - 1 maintainer
logic-toolchain 0.0.2
Wrapper for FPGA toolchain tools
2 versions - Latest release: over 5 years ago - 1 dependent repositories - 91 downloads last month - 0 stars on GitLab.com - 1 maintainer
koheron-tcp-client 0.9.0
Client for Koheron TCP server
2 versions - Latest release: over 7 years ago - 2 dependent repositories - 17 downloads last month - 11 stars on GitHub - 1 maintainer
ipgen 1.0.1
IP-core package generator for AXI4/Avalon
6 versions - Latest release: over 5 years ago - 3 dependent repositories - 43 downloads last month - 19 stars on GitHub - 1 maintainer
inaccel-vitis 0.2
InAccel Vitis Libraries
2 versions - Latest release: about 2 years ago - 1 dependent repositories - 22 downloads last month - 1 maintainer
inaccel-scikit-learn 0.23.1.5
InAccel scikit-learn-like package
10 versions - Latest release: over 1 year ago - 1 dependent repositories - 101 downloads last month - 1 maintainer
hdmi2usb.modeswitch 0.0.1
Module and command line tool for control the mode of HDMI2USB devices.
7 versions - Latest release: over 6 years ago - 14 downloads last month - 10 stars on GitHub - 2 maintainers
fsva 1.2.0
fsva (FuseSoc Verification Automation)
13 versions - Latest release: almost 2 years ago - 1 dependent repositories - 84 downloads last month - 20 stars on GitHub - 1 maintainer
flipsyrup 0.9.0
Cycle-Accurate Hardware Simulation Framework on Abstract FPGA Platforms
1 version - Latest release: over 9 years ago - 2 dependent repositories - 10 downloads last month - 6 stars on GitHub - 1 maintainer
fbdl 0.2.0
Functional Bus Description Language compiler front-end.
3 versions - Latest release: over 2 years ago - 1 dependent repositories - 10 downloads last month - 0 stars on GitHub - 1 maintainer
esp32ecp5 1.0.26
MicroPython ESP32 JTAG programmer for ECP5 FPGA
25 versions - Latest release: 7 months ago - 1 dependent repositories - 64 downloads last month - 65 stars on GitHub - 1 maintainer
chips 2.1.2
Design hardware with Python
5 versions - Latest release: 9 months ago - 37 downloads last month - 226 stars on GitHub - 1 maintainer
Top 8.0% on pypi.org
sphinxcontrib-hdl-diagrams 0.0.post160
Generate diagrams from HDL in Sphinx.
9 versions - Latest release: 8 months ago - 1 dependent package - 16 dependent repositories - 253 downloads last month - 48 stars on GitHub - 3 maintainers
wal-lang 0.8.0
Wal - Wavefile Analysis Language
2 versions - Latest release: 4 months ago - 1 dependent repositories - 39 downloads last month - 109 stars on GitHub - 2 maintainers
xeda 0.2.5
Cross EDA Abstraction and Automation
34 versions - Latest release: 10 months ago - 1 dependent repositories - 155 downloads last month - 32 stars on GitHub - 1 maintainer
desyrdl 1.3.0
DesyRDL - Tool for address space and register generation
5 versions - Latest release: 4 months ago - 1 dependent repositories - 1.36 thousand downloads last month - 2 maintainers
hdllib 0.1.1
VHDL source management : parse, organize, assemble, generate testbenches
2 versions - Latest release: almost 7 years ago - 1 dependent repositories - 34 downloads last month - 0 stars on GitLab.com - 1 maintainer
spydrnet 1.13.0
Python package for analyzing and transforming netlists
21 versions - Latest release: 8 months ago - 1 dependent repositories - 195 downloads last month - 85 stars on GitHub - 6 maintainers
crecomp 1.5.2
creator for Reconfigurable Component. Framework and Code generator for FPGA component
12 versions - Latest release: about 7 years ago - 2 dependent repositories - 43 downloads last month - 13 stars on GitHub - 1 maintainer
cocolight 0.0.3
cocoLight: Lightweight cocotb verification library
3 versions - Latest release: over 1 year ago - 3 dependent repositories - 32 downloads last month - 0 stars on GitHub - 1 maintainer
Top 7.7% on pypi.org
peakrdl-regblock 0.22.0
Compile SystemRDL into a SystemVerilog control/status register (CSR) block
22 versions - Latest release: about 2 months ago - 1 dependent package - 3 dependent repositories - 8.45 thousand downloads last month - 46 stars on GitHub - 1 maintainer
nngen 1.3.4
A Fully-Customizable Hardware Synthesis Compiler for Deep Neural Network
5 versions - Latest release: 8 months ago - 1 dependent repositories - 36 downloads last month - 318 stars on GitHub - 1 maintainer
chips-python 2.2.3
Design hardware with Python
3 versions - Latest release: almost 2 years ago - 477 downloads last month - 0 stars on GitHub - 1 maintainer
koheron 0.24.0
Koheron Python Library
36 versions - Latest release: 16 days ago - 1 dependent repositories - 296 downloads last month - 96 stars on GitHub - 2 maintainers
inaccel-keras 2.7.0.2
InAccel keras-like package
9 versions - Latest release: over 1 year ago - 1 dependent repositories - 76 downloads last month - 1 maintainer
inaccel-tf-quant-finance 0.0.1.6
InAccel tf-quant-finance-like package
5 versions - Latest release: over 1 year ago - 1 dependent repositories - 38 downloads last month - 1 maintainer
mio-cli 1.3.6
The Moore.io Command Line Interface (CLI) Client is a toolchain for front-end engineering of FPGA...
48 versions - Latest release: 5 months ago - 163 downloads last month - 2 stars on GitHub - 1 maintainer
pygears 0.3.4
Framework for functional hardware design approach
10 versions - Latest release: about 2 years ago - 5 dependent repositories - 93 downloads last month - 142 stars on GitHub - 1 maintainer
mio-client 1.5.9 removed
The Moore.io Command Line Interface (CLI) Client is a toolchain for front-end engineering of FPGA...
64 versions - Latest release: over 1 year ago - 1.33 thousand downloads last month - 1 stars on GitHub