Ecosyste.ms: Packages

An open API service providing package, version and dependency metadata of many open source software ecosystems and registries.

pypi.org "fpga" keyword

enso-nic 0.1.3
Python frontend for the Ensō NIC.
2 versions - Latest release: about 1 year ago - 23 downloads last month - 59 stars on GitHub - 1 maintainer
Top 8.1% on pypi.org
yowasp-yosys 0.41.0.0.post722 πŸ’°
Yosys Open SYnthesis Suite
87 versions - Latest release: 6 days ago - 3 dependent packages - 4 dependent repositories - 10.1 thousand downloads last month - 59 stars on GitHub - 2 maintainers
openflex 0.1.4
Framework for Logic Synthesis and EXploration
5 versions - Latest release: about 2 months ago - 37 downloads last month - 1 stars on GitHub - 1 maintainer
Top 3.2% on pypi.org
vunit-hdl 4.7.0
VUnit is an open source unit testing framework for VHDL/SystemVerilog.
87 versions - Latest release: about 1 year ago - 4 dependent packages - 19 dependent repositories - 9.54 thousand downloads last month - 684 stars on GitHub - 2 maintainers
Top 3.8% on pypi.org
systemrdl-compiler 1.27.3
Parse and elaborate front-end for SystemRDL 2.0
46 versions - Latest release: 4 months ago - 10 dependent packages - 15 dependent repositories - 27 thousand downloads last month - 222 stars on GitHub - 1 maintainer
aide-core 1.0.1000 πŸ’°
A professional collaborative platform for embedded development. Cross-platform IDE and Unified De...
3 versions - Latest release: 9 months ago - 31 downloads last month - 7,563 stars on GitHub - 1 maintainer
Top 1.3% on pypi.org
platformio 6.1.15 πŸ’°
Your Gateway to Embedded Software Development Excellence. Unlock the true potential of embedded s...
116 versions - Latest release: 20 days ago - 4 dependent packages - 423 dependent repositories - 455 thousand downloads last month - 7,563 stars on GitHub - 1 maintainer
rapidwright 2023.2.2
Xilinx RapidWright Framework Wrapped for Python.
23 versions - Latest release: about 1 month ago - 1 dependent package - 1 dependent repositories - 360 downloads last month - 260 stars on GitHub - 1 maintainer
Top 3.9% on pypi.org
qkeras 0.9.0
Quantization package for Keras
1 version - Latest release: almost 3 years ago - 2 dependent packages - 22 dependent repositories - 1.81 thousand downloads last month - 523 stars on GitHub - 1 maintainer
Top 7.7% on pypi.org
peakrdl-uvm 2.3.0
Generate UVM register model from compiled SystemRDL input
9 versions - Latest release: about 1 year ago - 2 dependent packages - 4 dependent repositories - 6.63 thousand downloads last month - 45 stars on GitHub - 1 maintainer
Top 7.0% on pypi.org
peakrdl-ipxact 3.4.4
Import and export IP-XACT XML to/from the systemrdl-compiler register model
17 versions - Latest release: about 2 months ago - 4 dependent packages - 6 dependent repositories - 4.36 thousand downloads last month - 31 stars on GitHub - 1 maintainer
Top 9.7% on pypi.org
peakrdl 1.1.0
Command-line tool for control/status register automation and code generation.
11 versions - Latest release: 7 months ago - 3 dependent packages - 2 dependent repositories - 6.08 thousand downloads last month - 67 stars on GitHub - 1 maintainer
linien-server 2.0.2
Server components of the Linien spectroscopy lock application.
79 versions - Latest release: about 15 hours ago - 1 dependent repositories - 899 downloads last month - 46 stars on GitHub - 2 maintainers
linien-client 2.0.2
Client components of the Linien spectroscopy lock application.
33 versions - Latest release: about 15 hours ago - 1 dependent package - 711 downloads last month - 46 stars on GitHub - 1 maintainer
linien-gui 2.0.2
Graphical user interface of the Linien spectroscopy lock application.
33 versions - Latest release: about 15 hours ago - 457 downloads last month - 46 stars on GitHub - 1 maintainer
linien-common 2.0.2
Shared components of the Linien spectroscopy lock application.
33 versions - Latest release: about 15 hours ago - 2 dependent packages - 878 downloads last month - 46 stars on GitHub - 1 maintainer
linien 0.5.3
Spectroscopy lock application using RedPitaya
47 versions - Latest release: about 2 years ago - 1 dependent package - 1 dependent repositories - 536 downloads last month - 46 stars on GitHub - 2 maintainers
linien-python-client 0.5.3
Python client for linien spectroscopy lock
19 versions - Latest release: about 2 years ago - 2 dependent packages - 1 dependent repositories - 233 downloads last month - 46 stars on GitHub - 2 maintainers
litex 2023.12
Python SoC/Core builder for building FPGA based systems.
4 versions - Latest release: 5 months ago - 5 dependent packages - 5 dependent repositories - 414 downloads last month - 2,687 stars on GitHub - 1 maintainer
hdlconvertor 2.3
VHDL and System Verilog parser written in c++
19 versions - Latest release: almost 3 years ago - 1 dependent package - 1 dependent repositories - 167 downloads last month - 265 stars on GitHub - 1 maintainer
hdl-registers 5.2.0
An open-source HDL register interface code generator fast enough to run in real time
17 versions - Latest release: 8 days ago - 1 dependent package - 1 dependent repositories - 867 downloads last month - 21 stars on GitHub - 1 maintainer
hdlconvertor-binary 2.3
VHDL and System Verilog parser written in c++
1 version - Latest release: 4 months ago - 112 downloads last month - 265 stars on GitHub - 1 maintainer
hdlconvertorast 1.2
A library of AST nodes for HDL languages (Verilog, VHDL, ...) and transpiler/compiler utilities
12 versions - Latest release: 7 months ago - 1 dependent repositories - 1.02 thousand downloads last month - 26 stars on GitHub - 1 maintainer
Top 5.8% on pypi.org
fxpmath 0.4.9
A python library for fractional fixed-point (base 2) arithmetic and binary manipulation with Nump...
24 versions - Latest release: 3 months ago - 4 dependent packages - 4 dependent repositories - 40 thousand downloads last month - 173 stars on GitHub - 1 maintainer
Top 3.4% on pypi.org
fusesoc 2.2.1
FuseSoC is a package manager and a set of build tools for HDL (Hardware Description Language) code.
24 versions - Latest release: about 1 year ago - 6 dependent packages - 18 dependent repositories - 3.27 thousand downloads last month - 1,118 stars on GitHub - 2 maintainers
Top 9.1% on pypi.org
finn-base 0.0.3
Components for ONNX graph manipulation and custom execution
5 versions - Latest release: over 2 years ago - 3 dependent packages - 3 dependent repositories - 47 downloads last month - 668 stars on GitHub - 1 maintainer
finn 0.0.1
QNN dataflow compiler for Xilinx FPGAs
1 version - Latest release: over 2 years ago - 1 dependent repositories - 53 downloads last month - 668 stars on GitHub - 2 maintainers
Top 3.5% on pypi.org
edalize 0.5.4
Library for interfacing EDA tools such as simulators, linters or synthesis tools, using a common ...
25 versions - Latest release: 5 months ago - 4 dependent packages - 16 dependent repositories - 3.76 thousand downloads last month - 587 stars on GitHub - 1 maintainer
Top 4.8% on pypi.org
brevitas 0.10.2
Quantization-aware training in PyTorch
15 versions - Latest release: 3 months ago - 2 dependent packages - 4 dependent repositories - 3.43 thousand downloads last month - 1,097 stars on GitHub - 4 maintainers
Top 3.3% on pypi.org
dace 0.15.1
Data-Centric Parallel Programming Framework
23 versions - Latest release: 5 months ago - 5 dependent packages - 8 dependent repositories - 13.2 thousand downloads last month - 468 stars on GitHub - 3 maintainers
Top 4.6% on pypi.org
amaranth 0.4.5
Amaranth hardware definition language
8 versions - Latest release: about 1 month ago - 2 dependent packages - 29 dependent repositories - 5.84 thousand downloads last month - 1,448 stars on GitHub - 1 maintainer
tsfpga 12.3.3
A flexible and scalable development platform for modern FPGA projects
30 versions - Latest release: about 21 hours ago - 1 dependent package - 1 dependent repositories - 978 downloads last month - 6 stars on GitHub - 1 maintainer
finn-examples 0.0.6
FINN Examples on PYNQ for Zynq and Alveo
6 versions - Latest release: over 1 year ago - 1 dependent repositories - 69 downloads last month - 161 stars on GitHub - 2 maintainers
anyv-registers 0.1.0
A template-based hardware register bank generator
1 version - Latest release: 3 months ago - 8 downloads last month - 0 stars on GitHub - 1 maintainer
maia-hdl 0.5.0
Maia SDR FPGA Amaranth HDL code
2 versions - Latest release: 10 days ago - 106 downloads last month - 177 stars on GitHub - 1 maintainer
smartmca 0.0.4
Smart MCA for DT5771 Python Library
1 version - Latest release: 3 months ago - 13 downloads last month - 1 maintainer
yowasp-boolector 3.2.3.6.post25.dev0
boolector Satisfiability Modulo Theories (SMT) solver
3 versions - Latest release: 2 months ago - 17 downloads last month - 1 stars on GitHub - 1 maintainer
riocore 0.0.4
riocore
4 versions - Latest release: 4 months ago - 22 downloads last month - 55 stars on GitHub - 1 maintainer
super-ide 1.5.0
A professional Cross-platform IDE. Cross-platform IDE and Unified Debugger. Static Code Analyzer ...
32 versions - Latest release: 19 days ago - 324 downloads last month - 1 maintainer
yowasp-nextpnr-machxo2 0.7.0.0.post519 πŸ’°
nextpnr-machxo2 FPGA place and route tool
5 versions - Latest release: 3 months ago - 28 downloads last month - 14 stars on GitHub - 2 maintainers
litexcnc 1.2.4
Generic CNC firmware and driver for FPGA cards which are supported by LiteX
11 versions - Latest release: 3 months ago - 1 dependent repositories - 106 downloads last month - 49 stars on GitHub - 1 maintainer
qibosoq 0.1.2
QIBO Server On Qick (qibosoq) is the server component of qibolab to be run on RFSoC boards
7 versions - Latest release: about 1 month ago - 1 dependent package - 1 dependent repositories - 1.8 thousand downloads last month - 9 stars on GitHub - 1 maintainer
pf-dev-tools 1.3.0
A collection of tools for Project Freedom projects
19 versions - Latest release: 2 months ago - 108 downloads last month - 101 stars on GitHub - 1 maintainer
pf-fpga-tools 0.0.9 removed
A collection of tools for openFPGA projects
8 versions - Latest release: 11 months ago - 28 downloads last month - 0 stars on GitHub - 1 maintainer
torii-boards 0.6.0
Board and connector definitions for Torii-HDL
4 versions - Latest release: 8 days ago - 154 downloads last month - 0 stars on GitHub - 2 maintainers
conifer 1.4
BDT Inference for FPGAs
11 versions - Latest release: 6 months ago - 211 downloads last month - 40 stars on GitHub - 1 maintainer
microfpga 3.1.2
FPGA-based platform for the electronic control of microscopes.
3 versions - Latest release: about 1 year ago - 25 downloads last month - 5 stars on GitHub - 1 maintainer
mera 1.6.0
An heterogeneous deep learning compiler framework.
10 versions - Latest release: 5 months ago - 1 dependent repositories - 80 downloads last month - 25 stars on GitHub - 1 maintainer
yowasp-nextpnr-gowin 0.7.0.0.post519 πŸ’°
nextpnr-gowin FPGA place and route tool
29 versions - Latest release: 3 months ago - 1 dependent repositories - 161 downloads last month - 14 stars on GitHub - 2 maintainers
yowasp-nextpnr-ecp5-45k 9999 πŸ’°
nextpnr-ecp5 FPGA place and route tool
39 versions - Latest release: over 1 year ago - 1 dependent package - 2 dependent repositories - 172 downloads last month - 14 stars on GitHub - 2 maintainers
yowasp-nextpnr-ecp5-all 9999 πŸ’°
nextpnr-ecp5 FPGA place and route tool
39 versions - Latest release: over 1 year ago - 2 dependent repositories - 175 downloads last month - 14 stars on GitHub - 2 maintainers
yowasp-nextpnr-ecp5-85k 9999 πŸ’°
nextpnr-ecp5 FPGA place and route tool
39 versions - Latest release: over 1 year ago - 1 dependent package - 2 dependent repositories - 191 downloads last month - 14 stars on GitHub - 2 maintainers
yowasp-nextpnr-ecp5-25k 9999 πŸ’°
nextpnr-ecp5 FPGA place and route tool
39 versions - Latest release: over 1 year ago - 1 dependent package - 2 dependent repositories - 220 downloads last month - 14 stars on GitHub - 2 maintainers
vpr_netfile_parser 0.3.post4
C++ VPR `.net` netlist-file parser, with Cython bindings
7 versions - Latest release: about 9 years ago - 2 dependent repositories - 26 downloads last month - 1 stars on GitHub - 1 maintainer
visualapplets 1.0
Python bindings for Basler's VisualApplets TCL script generation.
1 version - Latest release: over 2 years ago - 1 dependent repositories - 17 downloads last month - 2 stars on GitHub - 1 maintainer
Top 5.9% on pypi.org
tinyfpgab 1.1.0
Programmer for the TinyFPGA B2 boards (http://tinyfpga.com)
3 versions - Latest release: about 6 years ago - 1 dependent package - 8 dependent repositories - 1.02 thousand downloads last month - 186 stars on GitHub - 1 maintainer
Top 8.8% on pypi.org
teroshdl 3.0.0
It groups python dependencies for TerosHDL.
5 versions - Latest release: 8 months ago - 3 dependent repositories - 1.19 thousand downloads last month - 1 maintainer
tart-web-api 0.2.0
Transient Array Radio Telescope High-level HTTP Interface
8 versions - Latest release: about 5 years ago - 1 dependent repositories - 6 downloads last month - 24 stars on GitHub - 2 maintainers
tart-tools 1.2.0b3
Transient Array Radio Telescope Command Line Tools
19 versions - Latest release: 17 days ago - 2 dependent packages - 1 dependent repositories - 162 downloads last month - 24 stars on GitHub - 1 maintainer
tart-hardware-interface 0.1.8
Transient Array Radio Telescope Low-Level hardware interface
8 versions - Latest release: about 5 years ago - 1 dependent repositories - 33 downloads last month - 25 stars on GitHub - 2 maintainers
svreal 0.2.7
Library for working with fixed-point numbers in SystemVerilog
26 versions - Latest release: over 3 years ago - 2 dependent repositories - 88 downloads last month - 40 stars on GitHub - 1 maintainer
sphinx-vhdl 0.1.5
A Sphinx domain and autodocumenter for VHDL
6 versions - Latest release: over 1 year ago - 1 dependent repositories - 56 downloads last month - 19 stars on GitHub - 3 maintainers
sphinxcontrib-verilog-diagrams 0.1.1
Compatibility stub for renamed to sphinxcontrib-hdl-diagrams.
13 versions - Latest release: 8 months ago - 2 dependent repositories - 8 downloads last month - 48 stars on GitHub - 3 maintainers
Top 8.8% on pypi.org
simonspeckciphers 1.0.0
Implementations of the NSA's Simon and Speck Block Ciphers
1 version - Latest release: almost 6 years ago - 3 dependent repositories - 287 downloads last month - 92 stars on GitHub - 1 maintainer
samo 0.2.4
Streaming Architecture Mapping Optimiser
5 versions - Latest release: over 1 year ago - 1 dependent repositories - 61 downloads last month - 27 stars on GitHub - 1 maintainer
recover 0.0.0
An effective Remote Co-Verification (ReCoVer) library of hardware and software co-designs
1 version - Latest release: over 4 years ago - 2 dependent repositories - 17 downloads last month - 0 stars on GitLab.com - 1 maintainer
ralbot-uvm 1.2.0
Generate UVM register model from compiled SystemRDL input
4 versions - Latest release: over 3 years ago - 1 dependent repositories - 36 downloads last month - 45 stars on GitHub - 2 maintainers
pywire 1.0.0
A library for generating VHDL, designed for use in FPGAs
1 version - Latest release: over 6 years ago - 1 dependent repositories - 16 downloads last month - 7 stars on GitHub - 1 maintainer
pynqpandas 0.0.1
Hardware-accelerated Pandas
1 version - Latest release: over 6 years ago - 1 dependent repositories - 7 downloads last month - 0 stars on GitHub - 1 maintainer
pyfletcher 0.0.20
A Python wrapper for the Fletcher runtime library
19 versions - Latest release: over 2 years ago - 1 dependent repositories - 249 downloads last month - 217 stars on GitHub - 3 maintainers
pyfletchgen 0.0.20
Fletcher: A framework to integrate FPGA accelerators with Apache Arrow
12 versions - Latest release: over 2 years ago - 1 dependent repositories - 211 downloads last month - 217 stars on GitHub - 2 maintainers
py4hw 0.0.12
py4hw is a library to model, and simulate digital logic circuits. It promotes the use of structur...
12 versions - Latest release: 7 months ago - 1 dependent repositories - 24 downloads last month - 8 stars on GitHub - 1 maintainer
polyphony 0.3.6
Python based High Level Synthesis compiler
15 versions - Latest release: almost 5 years ago - 2 dependent repositories - 43 downloads last month - 98 stars on GitHub - 1 maintainer
pihdf 0.1.3
Hardware Design Framework based on python and MyHDL
4 versions - Latest release: about 8 years ago - 2 dependent repositories - 23 downloads last month - 2 stars on GitHub - 1 maintainer
phywhisperer 0.1.0
PhyWhisperer USB Hardware Trigger
1 version - Latest release: over 4 years ago - 1 dependent repositories - 31 downloads last month - 79 stars on GitHub - 2 maintainers
opae.fpga 1.2.0
pyopae provides Python bindings around the OPAE C API
2 versions - Latest release: over 5 years ago - 12 downloads last month - 2 maintainers
numfi 0.3.0
a numpy.ndarray subclass that does fixed-point arithmetic
6 versions - Latest release: 8 months ago - 1 dependent package - 2 dependent repositories - 1.43 thousand downloads last month - 10 stars on GitHub - 1 maintainer
nmigen-tool 0.0.1
nmigen command line tool for generating verilog, rtlil, cxxrtl and showing design diagrams
1 version - Latest release: over 2 years ago - 1 dependent repositories - 15 downloads last month - 10 stars on GitHub - 1 maintainer
naps 0.1.dev443
nMigen Apertus Packages
30 versions - Latest release: over 2 years ago - 1 dependent repositories - 80 downloads last month - 35 stars on GitHub - 2 maintainers
myhdl_lib 0.1.0
TODO: Library of components based on Python and MyHDL
2 versions - Latest release: about 8 years ago - 3 dependent repositories - 25 downloads last month - 15 stars on GitHub - 1 maintainer
msdsl 0.3.8
Library for generating synthesizable mixed-signal models for FPGA emulation
42 versions - Latest release: almost 3 years ago - 2 dependent repositories - 141 downloads last month - 33 stars on GitHub - 1 maintainer
logic-toolchain 0.0.2
Wrapper for FPGA toolchain tools
2 versions - Latest release: over 5 years ago - 1 dependent repositories - 91 downloads last month - 0 stars on GitLab.com - 1 maintainer
kfpga 0.0.1
An open source FPGA
1 version - Latest release: almost 5 years ago - 1 dependent repositories - 10 downloads last month - 1 maintainer
intoyuniot 3.4.4
An open source tools for IntoYun IoT development. Cross-platform build system and library manager...
5 versions - Latest release: over 6 years ago - 1 dependent repositories - 52 downloads last month - 1 maintainer
icefunprog 2.0.3
Programmer for the iceFUN FPGAs https://www.robot-electronics.co.uk/icefun.html)
3 versions - Latest release: about 4 years ago - 1 dependent package - 1 dependent repositories - 319 downloads last month - 0 stars on GitHub - 1 maintainer
icefun-prog 2.0.0
Programmer for the iceFUN FPGAs https://www.robot-electronics.co.uk/icefun.html)
1 version - Latest release: about 4 years ago - 1 dependent repositories - 8 downloads last month - 0 stars on GitHub - 1 maintainer
iceburn 0.1.1
Programmer for iCEblink40 boards
1 version - Latest release: almost 6 years ago - 1 dependent repositories - 11 downloads last month - 32 stars on GitHub - 1 maintainer
i2cx 0.9.3
Graphical interface for I2Cx Cyber Range, scanner-Lite(FT2232H),scanner (FPGA) and platform (stm3...
4 versions - Latest release: over 2 years ago - 1 dependent repositories - 24 downloads last month - 3 stars on GitHub - 1 maintainer
hwtlib 2.9
library of hardware components and test for HWToolkit framework (hwt, FPGA devel. tools)
28 versions - Latest release: almost 3 years ago - 1 dependent repositories - 81 downloads last month - 32 stars on GitHub - 1 maintainer
Top 9.5% on pypi.org
hwt 3.8
hdl synthesis toolkit
33 versions - Latest release: almost 3 years ago - 7 dependent repositories - 187 downloads last month - 188 stars on GitHub - 1 maintainer
hlsclt 1.0.0a1
A Vivado HLS Command Line Helper Tool
8 versions - Latest release: 9 months ago - 1 dependent repositories - 34 downloads last month - 35 stars on GitHub - 1 maintainer
Top 6.0% on pypi.org
hls4ml 0.8.1
Machine learning in FPGAs using HLS
12 versions - Latest release: 5 months ago - 6 dependent repositories - 993 downloads last month - 1,086 stars on GitHub - 5 maintainers
hectare 0.2.4
VHDL generator from SystemRDL
4 versions - Latest release: almost 3 years ago - 1 dependent repositories - 37 downloads last month - 179 stars on GitHub - 1 maintainer
fsva 1.2.0
fsva (FuseSoc Verification Automation)
13 versions - Latest release: almost 2 years ago - 1 dependent repositories - 84 downloads last month - 20 stars on GitHub - 1 maintainer
corsair 1.0.4
Control and Status Register map generator for FPGA/ASIC projects
8 versions - Latest release: about 1 year ago - 1 dependent repositories - 100 downloads last month - 85 stars on GitHub - 1 maintainer
ained 0.2.3
Stochastic Synchrony Functional Model in Python
5 versions - Latest release: 21 days ago - 385 downloads last month - 172 stars on GitHub - 1 maintainer
elasticai.creator 0.59.2
Design, train and compile neural networks optimized specifically for FPGAs.
83 versions - Latest release: 7 months ago - 697 downloads last month - 13 stars on GitHub - 1 maintainer
Top 8.0% on pypi.org
sphinxcontrib-hdl-diagrams 0.0.post160
Generate diagrams from HDL in Sphinx.
9 versions - Latest release: 8 months ago - 1 dependent package - 16 dependent repositories - 253 downloads last month - 48 stars on GitHub - 3 maintainers
camip 0.12.post9
Concurrent Associated-Moves Iterative Placement
1 version - Latest release: about 9 years ago - 2 dependent repositories - 16 downloads last month - 0 stars on GitHub - 1 maintainer
xeda 0.2.5
Cross EDA Abstraction and Automation
34 versions - Latest release: 10 months ago - 1 dependent repositories - 155 downloads last month - 32 stars on GitHub - 1 maintainer