pypi.org "fpga" keyword
View the packages on the pypi.org package registry that are tagged with the "fpga" keyword.
luna-usb 0.2.0 💰
Amaranth HDL framework for FPGA-based USB solutions6 versions - Latest release: about 2 months ago - 2.07 thousand downloads last month - 1,020 stars on GitHub - 2 maintainers
najaeda 0.1.15
Naja EDA Python package14 versions - Latest release: about 2 months ago - 3.75 thousand downloads last month - 93 stars on GitHub - 1 maintainer
Top 3.2% on pypi.org
92 versions - Latest release: almost 2 years ago - 4 dependent packages - 19 dependent repositories - 16.3 thousand downloads last month - 721 stars on GitHub - 2 maintainers
vunit-hdl 4.7.0
VUnit is an open source unit testing framework for VHDL/SystemVerilog.92 versions - Latest release: almost 2 years ago - 4 dependent packages - 19 dependent repositories - 16.3 thousand downloads last month - 721 stars on GitHub - 2 maintainers
anasymod 0.4.0
Tool for running mixed-signal emulations on FPGAs52 versions - Latest release: over 3 years ago - 1 dependent repositories - 1.06 thousand downloads last month - 35 stars on GitHub - 1 maintainer
aide-core 1.0.1000 💰
A professional collaborative platform for embedded development. Cross-platform IDE and Unified De...3 versions - Latest release: over 1 year ago - 102 downloads last month - 8,244 stars on GitHub - 1 maintainer
Top 3.5% on pypi.org
27 versions - Latest release: 4 days ago - 4 dependent packages - 16 dependent repositories - 9.91 thousand downloads last month - 675 stars on GitHub - 1 maintainer
edalize 0.6.1
Library for interfacing EDA tools such as simulators, linters or synthesis tools, using a common ...27 versions - Latest release: 4 days ago - 4 dependent packages - 16 dependent repositories - 9.91 thousand downloads last month - 675 stars on GitHub - 1 maintainer
Top 3.4% on pypi.org
27 versions - Latest release: about 18 hours ago - 6 dependent packages - 18 dependent repositories - 8.81 thousand downloads last month - 1,261 stars on GitHub - 2 maintainers
fusesoc 2.4.3
Award-winnning package manager and build abstraction tool for HDL code27 versions - Latest release: about 18 hours ago - 6 dependent packages - 18 dependent repositories - 8.81 thousand downloads last month - 1,261 stars on GitHub - 2 maintainers
Top 4.8% on pypi.org
17 versions - Latest release: 6 months ago - 2 dependent packages - 4 dependent repositories - 8.04 thousand downloads last month - 1,292 stars on GitHub - 4 maintainers
brevitas 0.11.0
Quantization-aware training in PyTorch17 versions - Latest release: 6 months ago - 2 dependent packages - 4 dependent repositories - 8.04 thousand downloads last month - 1,292 stars on GitHub - 4 maintainers
Top 1.3% on pypi.org
119 versions - Latest release: about 1 month ago - 4 dependent packages - 423 dependent repositories - 771 thousand downloads last month - 7,577 stars on GitHub - 1 maintainer
platformio 6.1.18 💰
Your Gateway to Embedded Software Development Excellence. Unlock the true potential of embedded s...119 versions - Latest release: about 1 month ago - 4 dependent packages - 423 dependent repositories - 771 thousand downloads last month - 7,577 stars on GitHub - 1 maintainer
pytest-cocotb 0.1.0
Pytest plugin to integrate Cocotb1 version - Latest release: about 1 month ago - 202 downloads last month - 1 stars on gitlab.com - 1 maintainer
Top 9.7% on pypi.org
13 versions - Latest release: 4 months ago - 3 dependent packages - 2 dependent repositories - 52.5 thousand downloads last month - 123 stars on GitHub - 1 maintainer
peakrdl 1.2.3
Toolchain for control/status register automation and code generation.13 versions - Latest release: 4 months ago - 3 dependent packages - 2 dependent repositories - 52.5 thousand downloads last month - 123 stars on GitHub - 1 maintainer
peakrdl-cli 1.2.3
Command-line tool for control/status register automation and code generation.2 versions - Latest release: 4 months ago - 32.3 thousand downloads last month - 123 stars on GitHub - 1 maintainer
nmigen-tool 0.0.1
nmigen command line tool for generating verilog, rtlil, cxxrtl and showing design diagrams1 version - Latest release: over 3 years ago - 1 dependent repositories - 51 downloads last month - 12 stars on GitHub - 1 maintainer
fpga_netlist 0.3.post1
FPGA netlist models and utilities.6 versions - Latest release: about 10 years ago - 2 dependent repositories - 147 downloads last month - 1 stars on GitHub - 1 maintainer
icefunprog 2.0.3
Programmer for the iceFUN FPGAs https://www.robot-electronics.co.uk/icefun.html)3 versions - Latest release: almost 5 years ago - 1 dependent package - 1 dependent repositories - 794 downloads last month - 0 stars on GitHub - 1 maintainer
Top 5.9% on pypi.org
3 versions - Latest release: about 7 years ago - 1 dependent package - 8 dependent repositories - 950 downloads last month - 190 stars on GitHub - 1 maintainer
tinyfpgab 1.1.0
Programmer for the TinyFPGA B2 boards (http://tinyfpga.com)3 versions - Latest release: about 7 years ago - 1 dependent package - 8 dependent repositories - 950 downloads last month - 190 stars on GitHub - 1 maintainer
spydrnet 1.13.0
Python package for analyzing and transforming netlists21 versions - Latest release: over 1 year ago - 1 dependent repositories - 711 downloads last month - 87 stars on GitHub - 6 maintainers
Top 9.1% on pypi.org
5 versions - Latest release: over 3 years ago - 3 dependent packages - 3 dependent repositories - 161 downloads last month - 804 stars on GitHub - 1 maintainer
finn-base 0.0.3
Components for ONNX graph manipulation and custom execution5 versions - Latest release: over 3 years ago - 3 dependent packages - 3 dependent repositories - 161 downloads last month - 804 stars on GitHub - 1 maintainer
finn 0.0.1
QNN dataflow compiler for Xilinx FPGAs1 version - Latest release: over 3 years ago - 1 dependent repositories - 142 downloads last month - 804 stars on GitHub - 2 maintainers
Top 8.6% on pypi.org
102 versions - Latest release: 8 days ago - 5 dependent packages - 1 dependent repositories - 40.6 thousand downloads last month - 974 stars on GitHub - 2 maintainers
siliconcompiler 0.32.3
A compiler framework that automates translation from source code to silicon.102 versions - Latest release: 8 days ago - 5 dependent packages - 1 dependent repositories - 40.6 thousand downloads last month - 974 stars on GitHub - 2 maintainers
samo 0.2.4
Streaming Architecture Mapping Optimiser5 versions - Latest release: over 2 years ago - 1 dependent repositories - 167 downloads last month - 32 stars on GitHub - 1 maintainer
Top 8.8% on pypi.org
5 versions - Latest release: over 1 year ago - 3 dependent repositories - 904 downloads last month - 1 maintainer
teroshdl 3.0.0
It groups python dependencies for TerosHDL.5 versions - Latest release: over 1 year ago - 3 dependent repositories - 904 downloads last month - 1 maintainer
naps 0.1.dev443
nMigen Apertus Packages30 versions - Latest release: over 3 years ago - 1 dependent repositories - 573 downloads last month - 35 stars on GitHub - 2 maintainers
ait-bsc 7.7.2
AIT - Accelerator Integration Tool15 versions - Latest release: 5 months ago - 581 downloads last month - 5 stars on GitHub - 1 maintainer
openflex 0.1.4
Framework for Logic Synthesis and EXploration5 versions - Latest release: about 1 year ago - 229 downloads last month - 1 stars on GitHub - 1 maintainer
casperfpga 0.1.3
Talk to CASPER hardware devices using katcp or dcp. See https://github.com/casper-astro/casperfpg...10 versions - Latest release: over 5 years ago - 3 dependent repositories - 413 downloads last month - 17 stars on GitHub - 2 maintainers
Top 8.1% on pypi.org
98 versions - Latest release: 10 days ago - 3 dependent packages - 4 dependent repositories - 23 thousand downloads last month - 65 stars on GitHub - 2 maintainers
yowasp-yosys 0.52.0.0.post894 💰
Yosys Open SYnthesis Suite98 versions - Latest release: 10 days ago - 3 dependent packages - 4 dependent repositories - 23 thousand downloads last month - 65 stars on GitHub - 2 maintainers
intel-jtag-uart 0.0.3
Package to interact with JTAG UART modules in Intel FPGA designs1 version - Latest release: almost 4 years ago - 1 dependent repositories - 83 downloads last month - 18 stars on GitHub - 1 maintainer
msdsl 0.3.8
Library for generating synthesizable mixed-signal models for FPGA emulation42 versions - Latest release: over 3 years ago - 2 dependent repositories - 608 downloads last month - 39 stars on GitHub - 1 maintainer
hlsclt 1.0.0a1
A Vivado HLS Command Line Helper Tool8 versions - Latest release: over 1 year ago - 1 dependent repositories - 163 downloads last month - 36 stars on GitHub - 1 maintainer
qibosoq 0.1.3
QIBO Server On Qick (qibosoq) is the server component of qibolab to be run on RFSoC boards8 versions - Latest release: 7 months ago - 1 dependent package - 1 dependent repositories - 520 downloads last month - 14 stars on GitHub - 1 maintainer
torii 0.7.7
Torii hardware definition language15 versions - Latest release: about 1 month ago - 2 dependent packages - 2 dependent repositories - 1.33 thousand downloads last month - 9 stars on GitHub - 2 maintainers
kfpga 0.0.1
An open source FPGA1 version - Latest release: almost 6 years ago - 1 dependent repositories - 52 downloads last month - 1 maintainer
colcon-hardware-acceleration 0.7.0
Extension for colcon to include hardware acceleration2 versions - Latest release: about 3 years ago - 71 downloads last month - 5 stars on GitHub - 3 maintainers
hdlconvertor 2.3
VHDL and System Verilog parser written in c++19 versions - Latest release: almost 4 years ago - 1 dependent package - 1 dependent repositories - 461 downloads last month - 289 stars on GitHub - 1 maintainer
hdlconvertor-binary 2.3
VHDL and System Verilog parser written in c++1 version - Latest release: over 1 year ago - 204 downloads last month - 289 stars on GitHub - 1 maintainer
Top 5.2% on pypi.org
33 versions - Latest release: over 6 years ago - 1 dependent package - 7 dependent repositories - 2.89 thousand downloads last month - 360 stars on GitHub - 2 maintainers
tinyprog 1.0.23
Programmer for FPGA boards using the TinyFPGA USB Bootloader (http://tinyfpga.com)33 versions - Latest release: over 6 years ago - 1 dependent package - 7 dependent repositories - 2.89 thousand downloads last month - 360 stars on GitHub - 2 maintainers
pygears 0.3.4
Framework for functional hardware design approach10 versions - Latest release: about 3 years ago - 5 dependent repositories - 447 downloads last month - 145 stars on GitHub - 1 maintainer
charon-vna 0.2.0
RF Network Analyzer based on the Pluto SDR2 versions - Latest release: 3 months ago - 118 downloads last month - 362 stars on GitHub - 1 maintainer
xeda 0.2.10
Cross EDA Abstraction and Automation36 versions - Latest release: 18 days ago - 1 dependent repositories - 685 downloads last month - 32 stars on GitHub - 1 maintainer
blackiceprog 2.0.0
Programmer for the blackice FPGAs by myStorm (https://mystorm.uk/)2 versions - Latest release: over 7 years ago - 1 dependent package - 1 dependent repositories - 764 downloads last month - 3 stars on GitHub - 1 maintainer
fsva 1.2.0
fsva (FuseSoc Verification Automation)13 versions - Latest release: over 2 years ago - 1 dependent repositories - 447 downloads last month - 20 stars on GitHub - 1 maintainer
maia-hdl 0.6.2
Maia SDR FPGA Amaranth HDL code6 versions - Latest release: 7 days ago - 168 downloads last month - 196 stars on GitHub - 1 maintainer
Top 7.7% on pypi.org
24 versions - Latest release: 7 days ago - 1 dependent package - 3 dependent repositories - 52.4 thousand downloads last month - 60 stars on GitHub - 1 maintainer
peakrdl-regblock 1.0.0
Compile SystemRDL into a SystemVerilog control/status register (CSR) block24 versions - Latest release: 7 days ago - 1 dependent package - 3 dependent repositories - 52.4 thousand downloads last month - 60 stars on GitHub - 1 maintainer
microfpga 3.1.2
FPGA-based platform for the electronic control of microscopes.3 versions - Latest release: about 2 years ago - 143 downloads last month - 5 stars on GitHub - 1 maintainer
Top 8.3% on pypi.org
4 versions - Latest release: 4 months ago - 3 dependent packages - 1 dependent repositories - 16.7 thousand downloads last month - 120 stars on GitHub - 1 maintainer
qonnx 0.4.0
Frontend and utilities for QONNX4 versions - Latest release: 4 months ago - 3 dependent packages - 1 dependent repositories - 16.7 thousand downloads last month - 120 stars on GitHub - 1 maintainer
linien 0.5.3
Spectroscopy lock application using RedPitaya47 versions - Latest release: about 3 years ago - 1 dependent package - 1 dependent repositories - 1.17 thousand downloads last month - 83 stars on GitHub - 2 maintainers
linien-python-client 0.5.3
Python client for linien spectroscopy lock19 versions - Latest release: about 3 years ago - 2 dependent packages - 1 dependent repositories - 430 downloads last month - 83 stars on GitHub - 2 maintainers
linien-gui 2.1.0
Graphical user interface of the Linien spectroscopy lock application.41 versions - Latest release: 8 months ago - 628 downloads last month - 57 stars on GitHub - 1 maintainer
linien-server 2.1.0
Server components of the Linien spectroscopy lock application.87 versions - Latest release: 8 months ago - 1 dependent repositories - 1.78 thousand downloads last month - 46 stars on GitHub - 2 maintainers
linien-client 2.1.0
Client components of the Linien spectroscopy lock application.41 versions - Latest release: 8 months ago - 1 dependent package - 881 downloads last month - 46 stars on GitHub - 1 maintainer
linien-common 2.1.0
Shared components of the Linien spectroscopy lock application.41 versions - Latest release: 8 months ago - 2 dependent packages - 998 downloads last month - 46 stars on GitHub - 1 maintainer
Top 6.0% on pypi.org
14 versions - Latest release: about 1 month ago - 6 dependent repositories - 2.24 thousand downloads last month - 1,312 stars on GitHub - 5 maintainers
hls4ml 1.1.0
Machine learning in FPGAs using HLS14 versions - Latest release: about 1 month ago - 6 dependent repositories - 2.24 thousand downloads last month - 1,312 stars on GitHub - 5 maintainers
pytcl-eda 0.2.1
PyTCL allows control EDA tools directly from Python that use TCL4 versions - Latest release: about 1 month ago - 573 downloads last month - 1 stars on gitlab.com - 1 maintainer
svreal 0.2.7
Library for working with fixed-point numbers in SystemVerilog26 versions - Latest release: over 4 years ago - 2 dependent repositories - 470 downloads last month - 44 stars on GitHub - 1 maintainer
Top 3.3% on pypi.org
28 versions - Latest release: 30 days ago - 5 dependent packages - 8 dependent repositories - 12.4 thousand downloads last month - 518 stars on GitHub - 2 maintainers
dace 1.0.2
Data-Centric Parallel Programming Framework28 versions - Latest release: 30 days ago - 5 dependent packages - 8 dependent repositories - 12.4 thousand downloads last month - 518 stars on GitHub - 2 maintainers
Top 5.5% on pypi.org
133 versions - Latest release: 10 months ago - 8 dependent repositories - 4.88 thousand downloads last month - 831 stars on GitHub - 3 maintainers
apio 0.9.5
Open source ecosystem for open FPGA boards133 versions - Latest release: 10 months ago - 8 dependent repositories - 4.88 thousand downloads last month - 831 stars on GitHub - 3 maintainers
hdl-registers 7.3.0
An open-source HDL register interface code generator fast enough to run in real time30 versions - Latest release: 18 days ago - 1 dependent package - 1 dependent repositories - 1.97 thousand downloads last month - 22 stars on GitHub - 1 maintainer
Top 3.8% on pypi.org
51 versions - Latest release: 3 months ago - 10 dependent packages - 15 dependent repositories - 70.5 thousand downloads last month - 246 stars on GitHub - 1 maintainer
systemrdl-compiler 1.29.3
Parse and elaborate front-end for SystemRDL 2.051 versions - Latest release: 3 months ago - 10 dependent packages - 15 dependent repositories - 70.5 thousand downloads last month - 246 stars on GitHub - 1 maintainer
mera 1.6.0
An heterogeneous deep learning compiler framework.11 versions - Latest release: over 1 year ago - 1 dependent repositories - 450 downloads last month - 32 stars on GitHub - 1 maintainer
visualapplets 1.0
Python bindings for Basler's VisualApplets TCL script generation.1 version - Latest release: over 3 years ago - 1 dependent repositories - 53 downloads last month - 3 stars on GitHub - 1 maintainer
numfi 0.5.0
a numpy.ndarray subclass that does fixed-point arithmetic9 versions - Latest release: 16 days ago - 1 dependent package - 2 dependent repositories - 787 downloads last month - 13 stars on GitHub - 1 maintainer
hwtlib 2.9
library of hardware components and test for HWToolkit framework (hwt, FPGA devel. tools)28 versions - Latest release: almost 4 years ago - 1 dependent repositories - 580 downloads last month - 37 stars on GitHub - 1 maintainer
hdlconvertorast 1.2
A library of AST nodes for HDL languages (Verilog, VHDL, ...) and transpiler/compiler utilities12 versions - Latest release: over 1 year ago - 1 dependent repositories - 943 downloads last month - 31 stars on GitHub - 1 maintainer
camip 0.12.post9
Concurrent Associated-Moves Iterative Placement1 version - Latest release: about 10 years ago - 2 dependent repositories - 28 downloads last month - 0 stars on GitHub - 1 maintainer
vpr_netfile_parser 0.3.post4
C++ VPR `.net` netlist-file parser, with Cython bindings7 versions - Latest release: about 10 years ago - 2 dependent repositories - 155 downloads last month - 1 stars on GitHub - 1 maintainer
Top 5.7% on pypi.org
9 versions - Latest release: over 2 years ago - 32 dependent repositories - 5 thousand downloads last month - 91 stars on GitHub - 3 maintainers
fasm 0.0.2.post100
FPGA Assembly (FASM) Parser and Generation library9 versions - Latest release: over 2 years ago - 32 dependent repositories - 5 thousand downloads last month - 91 stars on GitHub - 3 maintainers
yowasp-nextpnr-gowin 0.7.0.177.post611 💰
nextpnr-gowin FPGA place and route tool32 versions - Latest release: 2 months ago - 1 dependent repositories - 425 downloads last month - 16 stars on GitHub - 1 maintainer
yowasp-nextpnr-himbaechel-gowin 0.8.0.0.post621 💰
nextpnr-himbaechel-gowin FPGA place and route tool3 versions - Latest release: 26 days ago - 124 downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ecp5-85k 9999 💰
nextpnr-ecp5 FPGA place and route tool39 versions - Latest release: about 2 years ago - 1 dependent package - 2 dependent repositories - 443 downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ecp5-45k 9999 💰
nextpnr-ecp5 FPGA place and route tool39 versions - Latest release: about 2 years ago - 1 dependent package - 2 dependent repositories - 483 downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-nexus 0.8.0.0.post621 💰
nextpnr-nexus FPGA place and route tool36 versions - Latest release: 26 days ago - 1 dependent repositories - 280 downloads last month - 16 stars on GitHub - 1 maintainer
Top 9.9% on pypi.org
59 versions - Latest release: 26 days ago - 6 dependent packages - 2 dependent repositories - 1.42 thousand downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ice40 0.8.0.0.post621 💰
nextpnr-ice40 FPGA place and route tool59 versions - Latest release: 26 days ago - 6 dependent packages - 2 dependent repositories - 1.42 thousand downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ecp5 0.8.0.0.post621 💰
nextpnr-ecp5 FPGA place and route tool57 versions - Latest release: 26 days ago - 4 dependent packages - 2 dependent repositories - 1.08 thousand downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ice40-u4k 9999 💰
nextpnr-ice40 FPGA place and route tool41 versions - Latest release: about 2 years ago - 1 dependent package - 2 dependent repositories - 264 downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ecp5-all 9999 💰
nextpnr-ecp5 FPGA place and route tool39 versions - Latest release: about 2 years ago - 2 dependent repositories - 463 downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ice40-8k 9999 💰
nextpnr-ice40 FPGA place and route tool41 versions - Latest release: about 2 years ago - 1 dependent package - 2 dependent repositories - 332 downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ice40-1k 9999 💰
nextpnr-ice40 FPGA place and route tool41 versions - Latest release: about 2 years ago - 1 dependent package - 2 dependent repositories - 323 downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ice40-384 9999 💰
nextpnr-ice40 FPGA place and route tool41 versions - Latest release: about 2 years ago - 1 dependent package - 2 dependent repositories - 351 downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ecp5-25k 9999 💰
nextpnr-ecp5 FPGA place and route tool39 versions - Latest release: about 2 years ago - 1 dependent package - 2 dependent repositories - 482 downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ice40-all 9999 💰
nextpnr-ice40 FPGA place and route tool41 versions - Latest release: about 2 years ago - 2 dependent repositories - 286 downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-ice40-5k 9999 💰
nextpnr-ice40 FPGA place and route tool41 versions - Latest release: about 2 years ago - 1 dependent package - 2 dependent repositories - 397 downloads last month - 16 stars on GitHub - 2 maintainers
yowasp-nextpnr-machxo2 0.8.0.0.post621 💰
nextpnr-machxo2 FPGA place and route tool8 versions - Latest release: 26 days ago - 150 downloads last month - 16 stars on GitHub - 2 maintainers
Top 4.6% on pypi.org
14 versions - Latest release: 10 months ago - 2 dependent packages - 29 dependent repositories - 5.84 thousand downloads last month - 1,567 stars on GitHub - 1 maintainer
amaranth 0.6.0
Amaranth hardware definition language14 versions - Latest release: 10 months ago - 2 dependent packages - 29 dependent repositories - 5.84 thousand downloads last month - 1,567 stars on GitHub - 1 maintainer
litex 2024.12
Python SoC/Core builder for building FPGA based systems.6 versions - Latest release: 22 days ago - 5 dependent packages - 5 dependent repositories - 885 downloads last month - 2,973 stars on GitHub - 1 maintainer
norman-dp 0.1.1
Python frontend for the Norman dataplane.1 version - Latest release: about 3 years ago - 1 dependent repositories - 51 downloads last month - 71 stars on GitHub - 1 maintainer
hgq 0.2.5
High Granularity Quantizarion14 versions - Latest release: 11 days ago - 3.47 thousand downloads last month - 25 stars on GitHub - 1 maintainer
litexcnc 1.3.4
Generic CNC firmware and driver for FPGA cards which are supported by LiteX16 versions - Latest release: 2 months ago - 1 dependent repositories - 574 downloads last month - 58 stars on GitHub - 1 maintainer
ained 0.2.3
Stochastic Synchrony Functional Model in Python5 versions - Latest release: 12 months ago - 253 downloads last month - 173 stars on GitHub - 1 maintainer
Top 9.5% on pypi.org
33 versions - Latest release: almost 4 years ago - 7 dependent repositories - 762 downloads last month - 209 stars on GitHub - 1 maintainer
hwt 3.8
hdl synthesis toolkit33 versions - Latest release: almost 4 years ago - 7 dependent repositories - 762 downloads last month - 209 stars on GitHub - 1 maintainer
pf-dev-tools 1.4.0
A collection of tools for Project Freedom projects20 versions - Latest release: 10 months ago - 568 downloads last month - 100 stars on GitHub - 1 maintainer
koheron 0.24.0
Koheron Python Library36 versions - Latest release: 12 months ago - 1 dependent repositories - 849 downloads last month - 96 stars on GitHub - 2 maintainers
clelandlab-quick 0.5.1
QuICK is a useful wrap of QICK.37 versions - Latest release: 13 days ago - 1.44 thousand downloads last month - 7 stars on GitHub - 1 maintainer
riocore 0.0.4
riocore4 versions - Latest release: about 1 year ago - 85 downloads last month - 74 stars on GitHub - 1 maintainer
riogui 0.0.4
riogui3 versions - Latest release: about 1 year ago - 68 downloads last month - 74 stars on GitHub - 1 maintainer
corsair 1.0.4
Control and Status Register map generator for FPGA/ASIC projects8 versions - Latest release: about 2 years ago - 1 dependent repositories - 369 downloads last month - 115 stars on GitHub - 1 maintainer
conifer 1.6
BDT Inference for FPGAs13 versions - Latest release: 2 months ago - 805 downloads last month - 52 stars on GitHub - 1 maintainer
pynqpandas 0.0.1
Hardware-accelerated Pandas1 version - Latest release: over 7 years ago - 1 dependent repositories - 30 downloads last month - 0 stars on GitHub - 1 maintainer
apollo-fpga 1.1.1 💰
Python library and host tools for Apollo FPGA debug controllers.11 versions - Latest release: 5 months ago - 6 dependent repositories - 2.63 thousand downloads last month - 72 stars on GitHub - 3 maintainers
rule4ml 0.1.1
Resource utilization and Latency Estimation for ML on FPGA1 version - Latest release: 9 months ago - 97 downloads last month - 9 stars on GitHub - 2 maintainers
Related Keywords
python
56
verilog
35
asic
23
hdl
22
eda
21
nextpnr
20
vhdl
20
hardware
19
FPGA
19
pypi
18
webassembly
18
yowasp
17
systemverilog
16
rtl
15
registers
10
generator
10
xilinx
9
vivado
9
yosys
9
ASIC
9
systemrdl-compiler
9
simulation
8
compiler
8
synthesis
7
machine-learning
7
HDL
7
Verilog
7
neural-network
6
dsp
6
uvm
6
programmer
6
icestorm
6
firmware
6
register-descriptions
6
lasers
6
spectroscopy
6
VHDL
6
unit-testing
6
SystemVerilog
6
SystemRDL
5
fixed-point
5
hls
5
debug
5
CSR
5
torii-hdl
5
tool
5
csr
5
quantization
5
system verilog
5
uvm-register-model
4
PeakRDL
4
deep-learning
4
axi
4
bitstream
4
pytorch
4
register abstraction layer
4
usb
4
documentation
4
xcelium
4
parser
4
system-verilog
4
iot
4
embedded
4
arduino
4
mbed
4
esp8266
4
esp32
4
continuous-integration
4
cloud-ide
4
avr
4
arm
4
ide
4
microcontroller
4
onnx
4
keras
4
quartus
3
high-level-synthesis
3
python3
3
vivado-hls
3
netlist
3
tcl
3
Hardware
3
html
3
preprocessor
3
linuxcnc-fpga
3
linuxcnc
3
design-automation
3
antlr4
3
systemrdl
3
symbiflow
3
placement
3
amaranth-hdl
3
hardware-description-language
3
hardware-designs
3
sphinx
3
lattice
3
astronomy
3
modelsim
3
documentation-generator
3
sphinx-extension
3