Ecosyste.ms: Packages

An open API service providing package, version and dependency metadata of many open source software ecosystems and registries.

pypi.org "hdl" keyword

mio-cli 1.3.7
The Moore.io Command Line Interface (CLI) Client is a toolchain for front-end engineering of FPGA...
49 versions - Latest release: about 3 hours ago - 394 downloads last month - 2 stars on GitHub - 1 maintainer
py4hw 0.0.12
py4hw is a library to model, and simulate digital logic circuits. It promotes the use of structur...
13 versions - Latest release: 7 months ago - 1 dependent repositories - 101 downloads last month - 8 stars on GitHub - 1 maintainer
Top 4.6% on pypi.org
amaranth 0.4.5
Amaranth hardware definition language
8 versions - Latest release: about 1 month ago - 2 dependent packages - 29 dependent repositories - 6.13 thousand downloads last month - 1,450 stars on GitHub - 1 maintainer
blockwork 1.0
An opionated EDA flow
1 version - Latest release: 10 months ago - 22 downloads last month - 12 stars on GitHub - 1 maintainer
openflex 0.1.4
Framework for Logic Synthesis and EXploration
5 versions - Latest release: about 2 months ago - 37 downloads last month - 1 stars on GitHub - 1 maintainer
hdlconvertor 2.3
VHDL and System Verilog parser written in c++
19 versions - Latest release: almost 3 years ago - 1 dependent package - 1 dependent repositories - 167 downloads last month - 265 stars on GitHub - 1 maintainer
hdlconvertor-binary 2.3
VHDL and System Verilog parser written in c++
1 version - Latest release: 4 months ago - 112 downloads last month - 265 stars on GitHub - 1 maintainer
hdlconvertorast 1.2
A library of AST nodes for HDL languages (Verilog, VHDL, ...) and transpiler/compiler utilities
12 versions - Latest release: 7 months ago - 1 dependent repositories - 1.02 thousand downloads last month - 26 stars on GitHub - 1 maintainer
Top 3.4% on pypi.org
fusesoc 2.2.1
FuseSoC is a package manager and a set of build tools for HDL (Hardware Description Language) code.
24 versions - Latest release: about 1 year ago - 6 dependent packages - 18 dependent repositories - 3.27 thousand downloads last month - 1,118 stars on GitHub - 2 maintainers
Top 3.5% on pypi.org
edalize 0.5.4
Library for interfacing EDA tools such as simulators, linters or synthesis tools, using a common ...
25 versions - Latest release: 5 months ago - 4 dependent packages - 16 dependent repositories - 3.76 thousand downloads last month - 587 stars on GitHub - 1 maintainer
axilent 0.1.8
Tools for describing a sequence of Axi4Lite commands.
6 versions - Latest release: over 3 years ago - 1 dependent package - 1 dependent repositories - 671 downloads last month - 9 stars on GitHub - 1 maintainer
cocotbext-ahb 0.2.6
CocotbExt AHB Bus VIP
19 versions - Latest release: 5 months ago - 148 downloads last month - 5 stars on GitHub - 1 maintainer
Top 7.3% on pypi.org
pymtl3 3.1.16
PyMTL 3 (Mamba): A Python-based hardware generation, simulation, and verification framework
31 versions - Latest release: 7 months ago - 3 dependent repositories - 761 downloads last month - 351 stars on GitHub - 2 maintainers
pysystemrdlmodel 0.2.2
An abstract SystemRDL language model.
4 versions - Latest release: 9 months ago - 37 downloads last month - 2 stars on GitHub - 1 maintainer
torii-boards 0.6.0
Board and connector definitions for Torii-HDL
4 versions - Latest release: 10 days ago - 154 downloads last month - 0 stars on GitHub - 2 maintainers
Top 9.0% on pypi.org
symbolator 1.0.2
HDL symbol generator
3 versions - Latest release: over 6 years ago - 13 dependent repositories - 102 downloads last month - 169 stars on GitHub - 1 maintainer
sphinx-verilog-domain 0.0.2
Verilog Domain for Sphinx
3 versions - Latest release: over 3 years ago - 10 dependent repositories - 225 downloads last month - 21 stars on GitHub - 2 maintainers
sphinxcontrib-verilog-diagrams 0.1.1
Compatibility stub for renamed to sphinxcontrib-hdl-diagrams.
13 versions - Latest release: 8 months ago - 2 dependent repositories - 8 downloads last month - 48 stars on GitHub - 3 maintainers
slvcodec 0.4.18
Utilities for generating VHDL to convert to and from std_logic_vector, as well as utilties to cre...
32 versions - Latest release: 3 months ago - 1 dependent repositories - 1.96 thousand downloads last month - 11 stars on GitHub - 1 maintainer
sandpiper-saas 1.0.1
Sandpiper SaaS
5 versions - Latest release: almost 3 years ago - 1 dependent repositories - 576 downloads last month - 5 stars on GitHub - 1 maintainer
recover 0.0.0
An effective Remote Co-Verification (ReCoVer) library of hardware and software co-designs
1 version - Latest release: over 4 years ago - 2 dependent repositories - 17 downloads last month - 0 stars on GitLab.com - 1 maintainer
pyhgl 0.2.0
a Python-based Hardware Generation Language
4 versions - Latest release: 12 months ago - 1 dependent repositories - 8 downloads last month - 869 stars on GitHub - 1 maintainer
pyhdl 0.3.0
A simpe HDL for learning hardware design.
4 versions - Latest release: almost 8 years ago - 2 dependent repositories - 14 downloads last month - 8 stars on GitHub - 1 maintainer
pycircuit 0.0.2 💰
Library for composing circuits and pcb layouts
2 versions - Latest release: over 6 years ago - 1 dependent repositories - 32 downloads last month - 53 stars on GitHub - 1 maintainer
logic-toolchain 0.0.2
Wrapper for FPGA toolchain tools
2 versions - Latest release: over 5 years ago - 1 dependent repositories - 91 downloads last month - 0 stars on GitLab.com - 1 maintainer
fsva 1.2.0
fsva (FuseSoc Verification Automation)
13 versions - Latest release: almost 2 years ago - 1 dependent repositories - 84 downloads last month - 20 stars on GitHub - 1 maintainer
erroranalyzer 2.2.1
ErrorAnalyzer is the EDA tool of choice to understand and find simulation failures faster
3 versions - Latest release: over 2 years ago - 1 dependent repositories - 28 downloads last month - 10 stars on GitHub - 1 maintainer
Top 4.5% on pypi.org
cocotb-bus 0.2.1
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
5 versions - Latest release: over 2 years ago - 7 dependent packages - 21 dependent repositories - 14.3 thousand downloads last month - 46 stars on GitHub - 4 maintainers
Top 5.8% on pypi.org
cocotb-coverage 1.2.0
Functional Coverage and Constrained Randomization Extensions for Cocotb
7 versions - Latest release: 6 months ago - 2 dependent packages - 6 dependent repositories - 12.6 thousand downloads last month - 95 stars on GitHub - 2 maintainers
chipy 0.1.1
Chipy is a single-file python module for generating digital hardware.
1 version - Latest release: about 6 years ago - 1 dependent repositories - 17 downloads last month - 16 stars on GitHub - 1 maintainer
Top 8.0% on pypi.org
sphinxcontrib-hdl-diagrams 0.0.post160
Generate diagrams from HDL in Sphinx.
9 versions - Latest release: 8 months ago - 1 dependent package - 16 dependent repositories - 253 downloads last month - 48 stars on GitHub - 3 maintainers
xeda 0.2.5
Cross EDA Abstraction and Automation
34 versions - Latest release: 10 months ago - 1 dependent repositories - 155 downloads last month - 32 stars on GitHub - 1 maintainer
torii 0.6.0
Torii hardware definition language
8 versions - Latest release: 10 days ago - 2 dependent packages - 2 dependent repositories - 83 downloads last month - 9 stars on GitHub - 2 maintainers
playhdl 0.2.1
Tool to play with HDL (inspired by EdaPlayground)
3 versions - Latest release: over 1 year ago - 31 downloads last month - 4 stars on GitHub - 1 maintainer
hdl-checker 0.7.4
HDL code checker
19 versions - Latest release: almost 3 years ago - 2 dependent repositories - 301 downloads last month - 176 stars on GitHub - 1 maintainer
ipsocgen 0.1.39
Generic SoC builder in HDL
42 versions - Latest release: 11 months ago - 273 downloads last month - 1 maintainer
pcbdl 0.1.1
A programming way to design schematics.
2 versions - Latest release: almost 5 years ago - 1 dependent repositories - 23 downloads last month - 145 stars on GitHub - 1 maintainer
pygears 0.3.4
Framework for functional hardware design approach
10 versions - Latest release: about 2 years ago - 5 dependent repositories - 93 downloads last month - 142 stars on GitHub - 1 maintainer
libsv 0.2.1
An open source, parameterized SystemVerilog hardware IP library
2 versions - Latest release: over 2 years ago - 1 dependent repositories - 17 downloads last month - 19 stars on GitHub - 1 maintainer
mio-client 1.5.9 removed
The Moore.io Command Line Interface (CLI) Client is a toolchain for front-end engineering of FPGA...
64 versions - Latest release: over 1 year ago - 1.33 thousand downloads last month - 1 stars on GitHub