Ecosyste.ms: Packages

An open API service providing package, version and dependency metadata of many open source software ecosystems and registries.

pypi.org "vhdl" keyword

hdl-registers 5.2.0
An open-source HDL register interface code generator fast enough to run in real time
17 versions - Latest release: 3 days ago - 1 dependent repositories - 894 downloads last month - 21 stars on GitHub - 2 maintainers
Top 2.1% on pypi.org
cocotb 1.8.1
cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.
37 versions - Latest release: 7 months ago - 12 dependent packages - 71 dependent repositories - 59.9 thousand downloads last month - 1,614 stars on GitHub - 4 maintainers
Top 3.5% on pypi.org
edalize 0.5.4
Library for interfacing EDA tools such as simulators, linters or synthesis tools, using a common ...
25 versions - Latest release: 5 months ago - 3 dependent packages - 16 dependent repositories - 3.76 thousand downloads last month - 587 stars on GitHub - 2 maintainers
elfws 0.11.0
EDA Log File Warning Suppressor
13 versions - Latest release: 2 days ago - 1 dependent repositories - 104 downloads last month - 2 stars on GitHub - 2 maintainers
vhdeps 0.4.2
VHDL dependency analyzer and simulation driver.
12 versions - Latest release: over 4 years ago - 1 dependent repositories - 76 downloads last month - 21 stars on GitHub - 2 maintainers
Top 3.4% on pypi.org
fusesoc 2.2.1
FuseSoC is a package manager and a set of build tools for HDL (Hardware Description Language) code.
24 versions - Latest release: about 1 year ago - 4 dependent packages - 18 dependent repositories - 3.27 thousand downloads last month - 1,118 stars on GitHub - 4 maintainers
Top 9.5% on pypi.org
hwt 3.8
hdl synthesis toolkit
33 versions - Latest release: almost 3 years ago - 7 dependent repositories - 187 downloads last month - 188 stars on GitHub - 2 maintainers
tsfpga 12.3.2
A flexible and scalable development platform for modern FPGA projects
29 versions - Latest release: 11 days ago - 1 dependent package - 1 dependent repositories - 942 downloads last month - 6 stars on GitHub - 2 maintainers
pyversioning 0.13.3
Write version information for any programming language as source file.
31 versions - Latest release: 16 days ago - 1 dependent repositories - 1.11 thousand downloads last month - 4 stars on GitHub - 4 maintainers
xeda 0.2.5
Cross EDA Abstraction and Automation
34 versions - Latest release: 10 months ago - 1 dependent repositories - 155 downloads last month - 32 stars on GitHub - 2 maintainers
hdlconvertor-binary 2.3
VHDL and System Verilog parser written in c++
1 version - Latest release: 4 months ago - 90 downloads last month - 263 stars on GitHub - 2 maintainers
hdlconvertor 2.3
VHDL and System Verilog parser written in c++
19 versions - Latest release: almost 3 years ago - 1 dependent package - 1 dependent repositories - 145 downloads last month - 263 stars on GitHub - 2 maintainers
Top 5.3% on pypi.org
vsg 3.24.0
VHDL Style Guide
103 versions - Latest release: 5 days ago - 2 dependent packages - 4 dependent repositories - 9.29 thousand downloads last month - 155 stars on GitHub - 2 maintainers
pywire 1.0.0
A library for generating VHDL, designed for use in FPGAs
1 version - Latest release: about 6 years ago - 1 dependent repositories - 16 downloads last month - 7 stars on GitHub - 2 maintainers
pyha 0.0.14
Pyha
13 versions - Latest release: over 5 years ago - 1 dependent repositories - 84 downloads last month - 9 stars on GitHub - 1 maintainer
pcbpy 0.0.1
A Cadence Allegro PCB schematics parser and verification tool
1 version - Latest release: over 5 years ago - 1 dependent repositories - 20 downloads last month - 13 stars on GitHub - 2 maintainers
pyvhdlmodel 0.28.0
An abstract VHDL language model.
51 versions - Latest release: 4 months ago - 1 dependent repositories - 2.8 thousand downloads last month - 44 stars on GitHub - 2 maintainers
hdl-checker 0.7.4
HDL code checker
19 versions - Latest release: over 2 years ago - 2 dependent repositories - 301 downloads last month - 176 stars on GitHub - 1 maintainer
Top 4.5% on pypi.org
cocotb-bus 0.2.1
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
5 versions - Latest release: over 2 years ago - 7 dependent packages - 21 dependent repositories - 14.3 thousand downloads last month - 46 stars on GitHub - 8 maintainers
Top 3.2% on pypi.org
vunit-hdl 4.7.0
VUnit is an open source unit testing framework for VHDL/SystemVerilog.
87 versions - Latest release: about 1 year ago - 1 dependent package - 19 dependent repositories - 10 thousand downloads last month - 676 stars on GitHub - 2 maintainers
Top 8.8% on pypi.org
simonspeckciphers 1.0.0
Implementations of the NSA's Simon and Speck Block Ciphers
1 version - Latest release: almost 6 years ago - 3 dependent repositories - 287 downloads last month - 92 stars on GitHub - 2 maintainers
recover 0.0.0
An effective Remote Co-Verification (ReCoVer) library of hardware and software co-designs
1 version - Latest release: over 4 years ago - 2 dependent repositories - 17 downloads last month - 0 stars on GitLab.com - 2 maintainers
vhdlproc 2.3
A simple VHDL preprocessor
3 versions - Latest release: almost 2 years ago - 1 dependent repositories - 27 downloads last month - 24 stars on GitHub - 1 maintainer
vhdre 0.3
VHDL code generator for matching regular expressions.
2 versions - Latest release: over 3 years ago - 1 dependent repositories - 14 downloads last month - 2 maintainers
pyedaa.projectmodel 0.4.3
An abstract model of EDA tool projects.
7 versions - Latest release: 10 months ago - 668 downloads last month - 10 stars on GitHub - 4 maintainers
ipxact2systemverilog 1.0.23
Generate VHDL, SystemVerilog, html, rst, md, pdf, c headers from an IPXACT description
25 versions - Latest release: 6 months ago - 1 dependent repositories - 80 downloads last month - 55 stars on GitHub - 2 maintainers
pip-hdl 0.3.0
Tool to enable package managing for HDL VIP or IP cores (Verilog, SystemVerilog, VHDL) using Pyth...
3 versions - Latest release: 3 months ago - 27 downloads last month - 4 stars on GitHub - 2 maintainers
hcm 0.14
HDL Component Manager
14 versions - Latest release: over 4 years ago - 1 dependent repositories - 50 downloads last month - 10 stars on GitHub - 2 maintainers
pyarch 0.0.5
Hardware emulation library in python
5 versions - Latest release: over 7 years ago - 1 dependent repositories - 34 downloads last month - 16 stars on GitHub - 6 maintainers
pyipcmi 1.1.5
An IP Core Management Infrastructure written in Python - pyIPCMI.
3 versions - Latest release: over 4 years ago - 1 dependent repositories - 17 downloads last month - 8 stars on GitHub - 2 maintainers
hectare 0.2.4
VHDL generator from SystemRDL
4 versions - Latest release: almost 3 years ago - 1 dependent repositories - 37 downloads last month - 179 stars on GitHub - 2 maintainers
design-explorer 0.3
Design Explorer
3 versions - Latest release: almost 6 years ago - 1 dependent repositories - 13 downloads last month - 0 stars on GitHub - 2 maintainers
vhdmmio 0.0.3
VHDL code generator for AXI4-lite compatible memory-mapped I/O (MMIO)register files and bus infra...
3 versions - Latest release: over 4 years ago - 1 dependent repositories - 13 downloads last month - 10 stars on GitHub - 1 maintainer
hwtlib 2.9
library of hardware components and test for HWToolkit framework (hwt, FPGA devel. tools)
28 versions - Latest release: almost 3 years ago - 1 dependent repositories - 81 downloads last month - 32 stars on GitHub - 2 maintainers
sphinx-vhdl 0.1.5
A Sphinx domain and autodocumenter for VHDL
6 versions - Latest release: over 1 year ago - 1 dependent repositories - 56 downloads last month - 19 stars on GitHub - 6 maintainers
hdlconvertorast 1.2
A library of AST nodes for HDL languages (Verilog, VHDL, ...) and transpiler/compiler utilities
12 versions - Latest release: 7 months ago - 1 dependent repositories - 1.17 thousand downloads last month - 26 stars on GitHub - 2 maintainers
pyhgl 0.2.0
a Python-based Hardware Generation Language
4 versions - Latest release: 12 months ago - 1 dependent repositories - 8 downloads last month - 869 stars on GitHub - 2 maintainers
Top 9.0% on pypi.org
symbolator 1.0.2
HDL symbol generator
3 versions - Latest release: over 6 years ago - 13 dependent repositories - 102 downloads last month - 169 stars on GitHub - 2 maintainers
wavedisp 1.0.6
Wave file generator for HDL waveform viewers
7 versions - Latest release: about 4 years ago - 1 dependent repositories - 37 downloads last month - 10 stars on GitHub - 2 maintainers
crcgen 2.6
CRC algorithm HDL code generator (VHDL, Verilog, MyHDL)
7 versions - Latest release: 7 months ago - 43 downloads last month - 23 stars on GitHub - 2 maintainers
uart 0.6.4
Utility for simply creating and modifying VHDL bus slave modules
16 versions - Latest release: over 5 years ago - 1 dependent repositories - 474 downloads last month - 7 stars on GitHub - 2 maintainers
bust 0.9.4
Utility for simply creating and modifying VHDL bus slave modules
3 versions - Latest release: over 3 years ago - 1 dependent repositories - 27 downloads last month - 7 stars on GitHub - 2 maintainers
logic-toolchain 0.0.2
Wrapper for FPGA toolchain tools
2 versions - Latest release: over 5 years ago - 1 dependent repositories - 118 downloads last month - 0 stars on GitLab.com - 2 maintainers
pyghdl 1.0.dev0
VHDL Language Server and interface to ghdl, a VHDL analyzer
1 version - Latest release: over 3 years ago - 1 dependent repositories - 25 downloads last month - 2,194 stars on GitHub - 1 maintainer