Ecosyste.ms: Packages

An open API service providing package, version and dependency metadata of many open source software ecosystems and registries.

pypi.org "HDL" keyword

syn-magia 0.3.0 removed
Magia generates Synthesizable SystemVerilog in pythonic syntax
8 versions - Latest release: 4 months ago - 140 downloads last month - 545 stars on GitHub - 1 maintainer
Top 4.6% on pypi.org
myhdl 0.11.45
Python as a Hardware Description Language
14 versions - Latest release: 3 months ago - 3 dependent packages - 28 dependent repositories - 1.82 thousand downloads last month - 4 maintainers
Top 6.0% on pypi.org
migen 0.9.2
Python toolbox for building complex digital hardware
3 versions - Latest release: over 4 years ago - 2 dependent packages - 19 dependent repositories - 2.6 thousand downloads last month - 1,154 stars on GitHub - 1 maintainer
magia-hdl 0.5.0
Magia generates Synthesizable SystemVerilog in pythonic syntax
5 versions - Latest release: 3 months ago - 2 dependent packages - 75 downloads last month - 7 stars on GitHub - 1 maintainer
litex 2023.12
Python SoC/Core builder for building FPGA based systems.
4 versions - Latest release: 5 months ago - 5 dependent packages - 5 dependent repositories - 414 downloads last month - 2,687 stars on GitHub - 1 maintainer
liteiclink 2023.12
Small footprint and configurable Inter-Chip communication cores
4 versions - Latest release: 28 days ago - 1 dependent package - 2 dependent repositories - 44 downloads last month - 50 stars on GitHub - 1 maintainer
Top 4.7% on pypi.org
ipyxact 0.3.2
Python IP-Xact handling library
10 versions - Latest release: almost 2 years ago - 2 dependent packages - 20 dependent repositories - 14.9 thousand downloads last month - 117 stars on GitHub - 1 maintainer
smolarith 0.1.1
Soft-core arithmetic components written in Amaranth HDL
2 versions - Latest release: 2 months ago - 18 downloads last month - 1 stars on GitHub - 1 maintainer
magia-flow 0.2.1
Design flow integration and automation with Magia
4 versions - Latest release: 4 days ago - 129 downloads last month - 0 stars on GitHub - 1 maintainer
magia-ip 0.0.1
IP libraries designed with Magia
1 version - Latest release: 3 months ago - 10 downloads last month - 0 stars on GitHub - 1 maintainer
liteeth 2023.12
Small footprint and configurable Ethernet core
4 versions - Latest release: 28 days ago - 2 dependent repositories - 21 downloads last month - 190 stars on GitHub - 1 maintainer
litepcie 2023.12
Small footprint and configurable PCIe core
4 versions - Latest release: 28 days ago - 2 dependent repositories - 171 downloads last month - 438 stars on GitHub - 1 maintainer
litedram 2023.12
Small footprint and configurable DRAM core
4 versions - Latest release: 28 days ago - 4 dependent repositories - 44 downloads last month - 355 stars on GitHub - 1 maintainer
rdl2nd 0.1.0
It generates registers memory map documentation from SystemRDL input files to NaturalDocs output.
1 version - Latest release: about 1 year ago - 16 downloads last month - 1 maintainer
pychip 0.0.1
A python-based Hardware Description Language
1 version - Latest release: almost 2 years ago - 13 downloads last month - 1 maintainer
wbfbd 0.0.0
wbfbd
1 version - Latest release: over 2 years ago - 1 dependent repositories - 11 downloads last month - 0 stars on GitHub - 1 maintainer
thdl 0.0.6
thdl
6 versions - Latest release: almost 3 years ago - 1 dependent repositories - 16 downloads last month - 1 maintainer
Top 9.0% on pypi.org
symbolator 1.0.2
HDL symbol generator
3 versions - Latest release: over 6 years ago - 13 dependent repositories - 102 downloads last month - 169 stars on GitHub - 1 maintainer
sydpy 0.0.1
System Design in Python
1 version - Latest release: over 9 years ago - 2 dependent repositories - 15 downloads last month - 12 stars on GitHub - 1 maintainer
polyphony 0.3.6
Python based High Level Synthesis compiler
15 versions - Latest release: almost 5 years ago - 2 dependent repositories - 43 downloads last month - 98 stars on GitHub - 1 maintainer
logic-toolchain 0.0.2
Wrapper for FPGA toolchain tools
2 versions - Latest release: over 5 years ago - 1 dependent repositories - 91 downloads last month - 0 stars on GitLab.com - 1 maintainer
hdmi2usb.modeswitch 0.0.1
Module and command line tool for control the mode of HDMI2USB devices.
7 versions - Latest release: over 6 years ago - 14 downloads last month - 10 stars on GitHub - 2 maintainers
fsva 1.2.0
fsva (FuseSoc Verification Automation)
13 versions - Latest release: almost 2 years ago - 1 dependent repositories - 84 downloads last month - 20 stars on GitHub - 1 maintainer
fbdl 0.2.0
Functional Bus Description Language compiler front-end.
3 versions - Latest release: over 2 years ago - 1 dependent repositories - 10 downloads last month - 0 stars on GitHub - 1 maintainer
fasthdl 0.0.1
A fast-to-code Hardware Description Language
1 version - Latest release: over 2 years ago - 1 dependent repositories - 5 downloads last month - 1 maintainer
chips 2.1.2
Design hardware with Python
5 versions - Latest release: 9 months ago - 37 downloads last month - 226 stars on GitHub - 1 maintainer
Top 8.0% on pypi.org
sphinxcontrib-hdl-diagrams 0.0.post160
Generate diagrams from HDL in Sphinx.
9 versions - Latest release: 8 months ago - 1 dependent package - 16 dependent repositories - 253 downloads last month - 48 stars on GitHub - 3 maintainers
hdllib 0.1.1
VHDL source management : parse, organize, assemble, generate testbenches
2 versions - Latest release: almost 7 years ago - 1 dependent repositories - 34 downloads last month - 0 stars on GitLab.com - 1 maintainer
Top 7.9% on pypi.org
hdlparse 1.0.4
HDL parser
4 versions - Latest release: over 6 years ago - 1 dependent package - 4 dependent repositories - 209 downloads last month - 68 stars on GitHub - 1 maintainer
cocolight 0.0.3
cocoLight: Lightweight cocotb verification library
3 versions - Latest release: over 1 year ago - 3 dependent repositories - 32 downloads last month - 0 stars on GitHub - 1 maintainer
chips-python 2.2.3
Design hardware with Python
3 versions - Latest release: almost 2 years ago - 477 downloads last month - 0 stars on GitHub - 1 maintainer
pygears 0.3.4
Framework for functional hardware design approach
10 versions - Latest release: about 2 years ago - 5 dependent repositories - 93 downloads last month - 142 stars on GitHub - 1 maintainer