Ecosyste.ms: Packages

An open API service providing package, version and dependency metadata of many open source software ecosystems and registries.

pypi.org "vivado" keyword

tsfpga 12.3.3
A flexible and scalable development platform for modern FPGA projects
30 versions - Latest release: 7 days ago - 1 dependent package - 1 dependent repositories - 1.07 thousand downloads last month - 7 stars on GitHub - 1 maintainer
rapidwright 2023.2.2
Xilinx RapidWright Framework Wrapped for Python.
23 versions - Latest release: about 2 months ago - 1 dependent package - 1 dependent repositories - 360 downloads last month - 260 stars on GitHub - 1 maintainer
cocotb-vivado 0.0.3
Limited cocotb/Python interface for Xilinx Vivado Simulator
3 versions - Latest release: 3 months ago - 48 downloads last month - 14 stars on GitHub - 1 maintainer
Top 6.0% on pypi.org
hls4ml 0.8.1
Machine learning in FPGAs using HLS
12 versions - Latest release: 5 months ago - 6 dependent repositories - 993 downloads last month - 1,086 stars on GitHub - 5 maintainers
Top 3.5% on pypi.org
edalize 0.5.4
Library for interfacing EDA tools such as simulators, linters or synthesis tools, using a common ...
25 versions - Latest release: 5 months ago - 4 dependent packages - 16 dependent repositories - 3.54 thousand downloads last month - 596 stars on GitHub - 1 maintainer
hlsclt 1.0.0a1
A Vivado HLS Command Line Helper Tool
8 versions - Latest release: 9 months ago - 1 dependent repositories - 34 downloads last month - 35 stars on GitHub - 1 maintainer
pyedaa.projectmodel 0.4.3
An abstract model of EDA tool projects.
7 versions - Latest release: 11 months ago - 642 downloads last month - 10 stars on GitHub - 2 maintainers
xilinx-language-server 0.0.4 💰
xilinx language server
4 versions - Latest release: 11 months ago - 44 downloads last month - 0 stars on GitHub - 1 maintainer
starz 0.2.11
Sized Tape ARchiveZ
12 versions - Latest release: over 2 years ago - 1 dependent repositories - 56 downloads last month - 0 stars on GitHub - 1 maintainer
anasymod 0.4.0
Tool for running mixed-signal emulations on FPGAs
52 versions - Latest release: almost 3 years ago - 1 dependent repositories - 149 downloads last month - 31 stars on GitHub - 1 maintainer
svreal 0.2.7
Library for working with fixed-point numbers in SystemVerilog
26 versions - Latest release: over 3 years ago - 2 dependent repositories - 88 downloads last month - 40 stars on GitHub - 1 maintainer
pcbpy 0.0.1
A Cadence Allegro PCB schematics parser and verification tool
1 version - Latest release: almost 6 years ago - 1 dependent repositories - 20 downloads last month - 13 stars on GitHub - 1 maintainer